半导体实习报告

2020-04-19 来源:实习报告收藏下载本文

推荐第1篇:半导体实习报告

实习报告

1.实习目的:

根据学院对专科生要求,我在深圳意法半导体制造(深圳)有限公司,为期十个月的实习。毕业实习的目的是:接触实际,了解社会,增强社会主义事业心,责任感,巩固所学理论,获取专业实际知识,培养初步的工作能力,具体如下:

培养从事工作的专业技能,了解日常事物和工作流程,学会工作的方法,理解所学专业的意义。

培养艰苦奋斗的精神和社会注意责任感,形成热爱专业,热爱劳动的良好品质。

预演和准备就业,找出自身状况和社会实际所需的差距,并在以后的实践期间及时补充和改正,为求职和正式工作做好从分的知识和能力储备。

2.实习时间:

我于2012年7月初到2013年4月底,为期十个月的实践学习

3.实习单位:

3-1.单位地址和规模:

实习单位位于深圳市龙岗宝龙社区高科大道12号,意法半导体制造(深圳)有限公司,公司是一个子公司,现拥有在职员工50001001040338 柴荣 1

于人,多条生产线,拥有产能70亿只/年的生产能力。

3-2.实习期间在单位主要职务:

在实习期间,协助工程师处理一些质量和工艺流程方面的问题,以及提高产品的成品率。

3-2.实习单位的历史和发展:

意法半导体制造(深圳)有限公司于2005年9月在深圳市正式注册成立,由意法半导体公司全资公司意法半导体(中国)投资有限公司出资成立,公司的成立是为了深圳市龙岗区开发建设集成电路封装测试项目,字公司成立以来到现在,已经拥有5000余名员工,8条生产线,年产能70亿只/年,涉及十几种产品,主要是封装测试稳压管。

3-3.实习单位.部门.职位:

我在意法半导体制造(深圳)有限公司,TO220部门从事工程师助理,主要协助工程师解决产品质量问题和工艺流程。提高产品的成品率以及其他方面的一些实验和跟踪一些项目。

4.实习过程:

2012年7月2日,我正式在深圳意法半导体制造(深圳)有限公司,开始了为期十个月的实习之旅,刚来的时候,有7天的培训,初步了解公司的运作方式,重点强调了安全方面的培训,早晨8:30分开始上班,到晚上5:30分下班,一个星期工作40小时,海港开1001040338 柴荣

2

始培训玩的时候,我被分到了M/D工位做工程师助理,接触和了解了很多工艺流程方面的知识,以及一定的管理方法。刚开始三个星期里面,感觉无所事事,整天在车间里逛,看,然后就是看一些资料,知道第三个星期结束擦爱初步就具备了工作的能力,才被具体安排工作。M/D工位是半导体封装的工位,有着速度快、精度搞的特点,1800K/天的产能,刚开始我就负责IPC,监督质量以及前工位送做实验的一些产品,平时闲的时候就学学怎么具体操作机器,学习维修机器,机器保养维护。早M/D主要是FICO、ACCY机器,都是外国进口的先进机器,运行速度非常快,而且模具精度非常高。平时拆模具的时候我也帮帮忙,从中可以学到关于模具的知识,进距离的安装高进度模具。感觉非常精密,合模后装上水,一个星期水都不会漏出来。可能在学校薛计算机,英语水平也过的去,所以工作起来相对比较轻松,很快就适应了一些繁杂的工作。

在M/D工位的时候,自己经常做一些总结,学会了一些基本的学习技巧和沟通能力。以及一定的管理能力,真真切切的体会到了,从学校到社会明显的过度,心态也发生了明显的转变。由刚开始的担心、还怕、对未来的恐惧,现在都会以坦然的心态面对。虽然工作的时候有一定的压力,但对未来的动力更加充足。这段时间我在时间中了解社会,让我学会了一些在课堂上学不到的知识和能力,让我认识了理论和实际的差距并不是在课堂上就能弥补的,需要在实践中不断积累,不断学习,一次次从错误中吸取教训在不断改进,更好的把理论和实践结合起来,咋这结合的过程就是我们学以致用的过程,1001040338 柴荣

3

并且也扩展了自己的视野,充实自己的时候。

实习期间,我利用了难得的机会,努力工作,严格要求自己,在遇到困难和不懂的问题的时候,就虚心向师傅请教,向有经验的老员工请教,知道弄懂为止。搞清楚原理,弄清楚方法然后在总结经验,让自己能快速的融入到工作中去,更好的快速的完成任务。同时我也利用其他空余的时间学习参考一些相关的书籍,收索一些理论资料啦完善自己对工程管理的一些知识,能够更深一步的了解理论,将实际和理论向结合起来,达到灵活运用的境界。这些也让我收获颇丰,让我对工作更加得心应手,在实习期间该厂正好是订单较多的时候,也是历年订单最多的一年,生产进行的如火如荼。我在跟随工程部的时候,才发现这个部门对产品质量需求及其严格,对风险评估也异常苛刻。比如一盒产品有3200粒,但是如果其中发现几粒贱焊锡或die裂的情况,就会讲一整合3200粒产品全部废弃掉。这也就反应了公司对产品质量要求及其严格,质量是企业的第一信誉,是产品的形象。公司严格对产品质量把关,就等于掌握了企业的未来,正怀着这种信念我对产品质量要求也及其严格,对于不合格的产品和有风险的产品一律废弃掉,当做废品处理掉,对有风险的产品进行评估,合格的才会被继续生产,不合格的就会被当做废弃无处理掉。

经过一个办月在M/D工位的摸索和实践,对严谨的工作态度,以及自身能力的提高,上级对我的工作非常认可,于是就让我产于更具挑战性的项目,提升VR产品系列的成品率。换句话说就是找出各个工位不合理照成VR系列产品成品率于98%的原因,在反馈到各个1001040338 柴荣

4

部门主管和工程师,采取相应的方法来解决这些不合理的地方。刚开始接到任务的时候,我有点兴奋和紧张,但是更多的是担心,自己的能力能否完成这个艰巨的任务,于是变成立了一个团队,包括各个工位的主管,工程师、PM、PM hand,一起共同努力,终于在三个月后,成功的将VR系列产品的成品率提升到99%左右。这一历史性的突破不仅给公司带来了巨大的效益,也对自己的能力得到肯定,对自己带来了很大的自信心和满足感。同时上级对我的努力作出了肯定,于是在11月份左右,上级领导决定让我继续跟踪P-MOS系列产品,提升VP-MOS系列产品的成品率,于是我就开始了新一轮的艰苦卓绝的奋斗。到4月份虽然没有完成语气的目标,但是P-MOS系列产品的成品率相比以前提升了一大截,这在以前是没有过的。继续努力,我仔细想想原因,其实操作员的压力很大,一个人照看十几台机器,有时候根本忙不过来,质量方面的检查也就相对放松了,同时长时间的劳动,QC工位质量检查也相对放松了。因为只来呢个检查的不到保证,缺陷也就会继续存在下去,成品率也就得不到提升。同时公司人流量也非常大,老员工离岗,新员工上岗,很多新员工对工艺流程不熟悉,也照成了很多产品的缺陷,最主要的还是机器老化很严重,有些机器都20 多年了,机器精度和能量输出相对不是很稳定,这是对产品成品率威胁最大的因素之一,同时这也是极难控制大的,所以提高成品率就要相对技术员加强培训,让每一个人了解工艺流程并且提升自身的能力,要求每个人都必须按照工艺流程操作,对有风险的lot必须hond住,对出料前必须做检查,并且在系统中写清楚缺陷数量,做1001040338 柴荣

5

好系统。之后待确认无误之后才能到下个工位生产。

具体提升成品率的一些措施:

对于VR系列产品,因为是铜线的,铜的分子质量比较大,所以可以用X-RAY射线透视的方法观看具体缺陷,判断出是人为的还是机器故障导致,也可以咋示波器上观看不同参数所反映出来的波形,判断是那种缺陷,以反馈到该工位及时改进和调整机器状态。如果是人为照成的,则加强对人的培训,对于新员工,必须严格要求按照工艺流程做,并且制定了一系列的硬性标准。对已P-MOS产品系列,因为是铝线的,射线穿透die,不可以看到具体缺陷,只能每天送一些样品去实验室做Chemical De-capping,bond Cratering check的实验,才能搞清楚知道是那个环节出了问题,并且也可以更具随工单上的信息判断缺陷产生工位后,得出当班是谁,以及那一台机器产生的缺陷,还可以在系统中清楚的查看是哪一个lot到哪一个工位的时间,那台机器那个人,都可以清晰的查到。并且还可以在系统中查到产量,以及用什么代码缺陷有多少粒。便于统计和以前做比较,直观的得出近期成品率是提高了还是下降了,以便对近期采取的一些措施进行改进和执行!

对于公司不合理的地方也有很多,虽然从公司的角度来讲是方便管理,但是阙损害了一部人人的利益,还有公司对于操作员的压力非常大,一天工作12个小时,采取换修的工作方式,劳动量大,并且工资相对深圳平均最低生活标准搞一点点,并且每天还要为产量,质1001040338 柴荣 6

量承担相当大的压力,虽然有组织活动,但是都很少,灵活性比较差,生产线上的噪音也很大,长期在里面对身体会照成一定的危害,伙食虽然每次都在加钱,但是感觉没多大改变饭菜也没有什么营养,而且还有不可思议的“菠萝烧肉”,简直是绝品,虽然很多人投诉,单效果不大,虽然很多时候对身边的一些事物不是很满意,但是总体上还是好的。

五.实习收获:

为期十个月的实习即将结束,实习期间在实践中学到了很多平时学不到的东西,接触了从没有过的机器,认识了理论和实践的差距,这会更好的帮助我们讲理论和实践相结合起来,培养了自己独立思考的能力和动手能力,培养了专业技能,懂得了日常事务和一些工作流程。学会了用各种手段解决实际纯在的问题,斌且掌握了一门生存技能,发现问题后能独立判断是什么原因导致的,并且快速的制定一些改进措施,能够时刻保持清晰的头脑,清楚的理智的判断,能从多个方面做切入点,更深层次的分析问题。这段实践的学习不仅提高了自己的计算机水平,还提高了英语水平,学会了一定的管理经验懂得操作十几种不同的机器,可以熟练的运用理论知识,更重要的是感觉到人脉的强大,在实习期间和不同层次的人接触后,不管什么学历什么职位,甚至是不同工厂的人,他们教会了我人际关系的强大,这将会是我以后发展创业的重要一个环节,不可缺少的环节。

1001040338 柴荣 7

六.实习总结:

从七月份实习到现在有将近十个月的时间了,离开了亲爱的母校,踏上了实习的征程。回首实习期间的得于失,感慨良多,无论是在实践上还是在生活上思想上都有了很大的变化,学到了一些新的人生道理,如何在残酷的社会中生存下去,为了理想而努力奋斗,自实习后有了一定的经济基础后,我对心中的梦想越来越近,对生活的精彩而感到精妙。在半导体实习,无论是管理还是普通员工都发扬了艰苦奋斗的精神,才能从中学会知识,增加见世面,见世面广了,对分析问题的能力也就会大大提高,虽然一路上磕磕碰碰的走过来,从中各种失误中总结经验,在各种打击中树立人生观和价值观。在打击红成长起来无论是生活上还是工作上,都具备了独挡一面的能力,我要感谢我的母校和各任课老师能够教会我很多专业技能,和做人的道理,给予我在社会中生存的手段,给了我鼓励和自信,感觉在实习期间给予我帮助的人,他们教会我要拥有一颗坚强的心,这样才能在社会中从分发挥自己的专业技能,回报母校,回报祖国。

实习人:XX

1001040338 柴荣

推荐第2篇:半导体公司实习报告

实习时间: 2015.03.06~今 实习公司:

SK海力士是居于全球半导体存储器市场第二位的韩国企业——SK海力士在重庆投资建设的半导体后工序(封装测试)工厂。目前SK海力士在韩国有三条12英寸晶圆生产线和一条8英寸晶圆生产线,在中国无锡有一条12英寸晶圆生产线。重庆公司是为满足SK海力士封装测试的需求而专门设立的公司,是充分发挥SK海力士一直以来积累的建设经验和运营经验,成功建设的世界最高质量的后工序工厂。

公司位于重庆西永综合保税区 B 区。公司现在有一条完整的半导体存储器封装与测试的产线,其主要分为PKG与PKT两个部分。PKG主要是生产产品的产线,PKT是主要做测试PKG生产的产品的品质。

实习工作内容:

我的实习岗位是在MBS部门(也就是PKG的后工程)中的Mold工程,我的主要工作是维修与保养设备是设备在产线中能够正常的生产和生产的产品没有不良,同时接受部门主管的其他工作安排。Mold工程的前辈在实习期间向我传授了许多知识,包括如何修理某个设备在生产中的错误以及优秀测试人员应该注意的方面。在此期间,我不但了解了半导体产品的加工过程,而且知道了晶片的封装工艺及其严格的检测过程,另外还学习到了一些使用的软件及其其他相关知识。

实习感悟:实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向前辈们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对半导体检测工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

虽然现在我实习还没有结束但是我在实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这次实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

该半导体厂的组织机构设置很简练。主要是总经理——副总经理——主管管理各个部门。由于公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

质量是企业的第一信誉,是产品的形象。公司严把质量关,这就掌握了企业的未来。该公司正是怀着这种信念,检验程序相当严格,不合格的产品严格反工甚至对员工、调试工、组长进行罚款等处分。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向前辈们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对半导体检测工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

虽然现在我还在实习当中,但是这次实习对我产生的影响是巨大的。以下是我总结自己在实习期间的体会。

1、自主学习。工作后不再像在学校里学习那样,有老师,有作业,有考试,而是一切要自己主动去学去做。只要你想学习,学习的机会还是很多的,老员工们从不吝惜自己的经验来指导你工作,让你少走弯路;公司内部有各种各样的培训来提高自己,你所要做的只是清楚的了解哪些是你需要了解的,哪些是你感兴趣的。特别是我们刚出学校,步入社会的新人,只有不断的去学习,各个方面都需要学习,才能适应这个大的环境,才有发展可言。

2、积极进取的工作态度。在工作中,你不只为公司创造了效益,同时也提高了自己,像我这样刚出学校没有工作经验的新人,更需要通过多做事情来积累经验。虽然我们实习生有自己明确的工作范围,但如果工作态度不够积极就可能没有事情做,所以平时就更需要主动争取多做事,这样才能多积累多提高。因为量变引起质变,我们要厚积薄发,特别是我们做的是维修工作,只有多动手修机,不断积累总结,还有多和别人交流修机经验,这样才可以又快又好的修好机器。

3、团队精神。工作往往不是一个人的事情,是一个团队在完成一个项目,在工作的过程中如何去保持和团队中其他同事的交流和沟通也是相当重要的。一位资深人力资源专家曾对团队精神的能力要求有这样的观点:要有与别人沟通、交流的能力以及与人合作的能力。合理的分工可以使大家在工作中各尽所长,团结合作,配合默契,共赴成功。个人要想成功及获得好的业绩,必须牢记一个规则:我们永远不能将个人利益凌驾于团队利益之上。在团队工作中,会出现在自己的协助下同时也从中受益的情况,反过来看,自己本身是受益其中的,像我们维修工作,至少是两个人甚至更多人配合,才可以以较高的速率完成工作,而不浪费生产的时间,保证产量。

4、基本礼仪。步入社会就需要了解基本礼仪,而这往往是原来作为学生不大重视的,无论是着装还是待人接物,都应该合乎礼仪,才不会影响工作的正常进行。这就需要平时多学习,比如注意其他人的做法或向专家请教。重视基本礼仪,可以让自己的工作环境变得轻松,学会尊重别人,也会赢得别人的尊重。

5、为人处事。作为学生面对的无非是同学、老师、家长,而工作后就要面对更为复杂的关系。无论是和领导、同事还是客户接触,都要做到妥善处理,要多沟通,并要设身处地从对方角度换位思考,而不是只是考虑自己的事。别人有困难的时候,自己要伸出援助之手,这样自己有困难的时候别人才会反过来帮助自己。

最后,我至少还有以下问题需要解决。

1、缺乏工作经验。因为自己缺乏经验,很多问题而不能分清主次,修机有时候不知道如何下手,没有修机思路,还有些培训或是学习不能找到重点,随着实习工作的进行,我会多多动手,多向懂得人请教,逐渐积累经验的。

2、工作态度仍不够积极。在工作中仅仅能够完成布置的工作,在没有工作任务时虽能主动要求布置工作,但若没有工作做时可能就会松懈,不能做到主动学习,这主要还是因为懒惰在作怪,在今后我要努力克服惰性,没有工作任务时主动要求布置工作,没有布置工作时作到自主学习。

3、没有及时总结经验。虽然工作的时候会比较忙,但也没有合理的利用休息时间及时总结工作当中遇到的问题(主要是维修机器和突发状况的处理)。随着今后工作经验的不断增加,我想我会合理利用休息时间总结工作中的各种问题,提高自己处理问题的能力。

4、以上就是我对实习期间的总结,虽然实习就快要结束,就要毕业了,但真正的挑战才刚要开始。只要还有明天,今天就永远是起跑线!我想,作为一个当代大学生,不管以后在什么行业,从事什么工作,都要时刻牢记:砺志、崇实、强技、尚新。

推荐第3篇:半导体公司实习报告

为期第三个月的实习结束了,我在这三个月的实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这个月的实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

矽格公司是在1997年经历千辛万苦独立出来自主经营的公司,已经有十三多年的发展历史,以成为集研制、生产、销售、技术培训于一体,拥有高精度电脑控制机械加工中心等全套加工设备的大型专业包装设备制造厂。目前主要生产驱动类集成ic与光电鼠标等,产品包括:自动和半自动轮转循环,机械有d/b与w/b,这些机械都是日本、美国高科技的技术。具有高精度、高效率、先进的自动模切机、dbing机、wbing机等。

该半导体厂的组织机构设置很简练。主要是总经理——副总经理——主管管理各个部门。由于矽格公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

该厂生产的ic依据季节可以算得上的需求稳定,是属于定单供货型的生产。由于产品的质量要求和技术含量要求都很高,因此,生产周期也比较长,单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解决。

实习期间,刚好该厂正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效率生产。

质量是企业的第一信誉,是产品的形象。公司严把质量关,这就掌握了企业的未来。该公司正是怀着这种信念,检验程序相当严格,不合格的产品严格反工甚至对员工、调试工、组长进行罚款等处分。

通过这次实习,我学会了不少东西。实践出真知啊。

推荐第4篇:半导体认识实习报告

电子信息材料专业实习报告

电子信息材料是指在微电子、光电子技术和新型电子元器件领域中所用的材料,主要包括微电子材料、光电子材料、传感材料、磁性材料、电子陶瓷材料等,它们支撑着通信、计算机、信息家电和网络技术等现代信息产业及航空、航天、精确制导、灵巧武器等领域的发展。

电子信息材料是发展电子信息产业的先导和基础。以单晶硅为代表的第一代半导体材料是集成电路产业的基础。1948年发明了晶体管,1960年集成电路问世,1962年出现第一代半导体激光器,导致了电子技术、光电子技术革命,产生了半导体微电子学与半导体光电子学,有力地推动了计算机、通讯技术发生根本改变。

光电子技术是现代信息技术的基石,21世纪是光电子时代。以砷化镓、磷化铟等化合物为代表的第二代半导体材料是新型激光器和光探测器用材料。半导体发光二极管的出现,其意义不亚于爱迪生发明白炽灯。半导体灯小巧可靠、寿命长,驱动电压低,发光效率高。它可以发出赤橙黄绿青蓝紫等的全彩色光和白色,它占尽了照明灯、指示灯的全部优点。半导体光照明的主体材料主要是第二代、第三代半导体材料,特别是第三代半导体材料氮化镓,它是唯一能发出蓝光和白光的材料。

磁性材料、电子陶瓷材料广泛应用于计算机、通信、航空等各个领域,是新型器件的基础材料。

(一)半导体材料(semiconductor material)

导电能力介于导体与绝缘体之间的物质称为半导体。半导体材料是一类具有半导体性能、可用来制作半导体器件和集成电的电子材料,

其电导率在10(U-3)~10(U-9)欧姆/厘米范围内。半导体材料的电学性质对光、热、电、磁等外界因素的变化十分敏感,在半导体材料中掺入少量杂质可以控制这类材料的电导率。正是利用半导体材料的这些性质,才制造出功能多样的半导体器件。 半导体材料是半导体工业的基础,它的发展对半导体技术的发展有极大的影响。

特性和参数 半导体材料的导电性对某些微量杂质极敏感。纯度很高的半导体材料称为本征半导体,常温下其电阻率很高,是电的不良导体。在高纯半导体材料中掺入适当杂质后,由于杂质原子提供导电载流子,使材料的电阻率大为降低。这种掺杂半导体常称为杂质半导体。杂质半导体靠导带电子导电的称N型半导体,靠价带空穴导电的称P型半导体。不同类型半导体间接触(构成PN结)或半导体与金属接触时,因电子(或空穴)浓度差而产生扩散,在接触处形成位垒,因而这类接触具有单向导电性。利用PN结的单向导电性,可以制成具有不同功能的半导体器件,如二极管、三极管、晶闸管等。此外,半导体材料的导电性对外界条件(如热、光、电、磁等因素)的变化非常敏感,据此可以制造各种敏感元件,用于信息转换。

种类 常用的半导体材料分为元素半导体和化合物半导体。元素半导体是由单一元素制成的半导体材料。主要有硅、锗、硒等,以硅、锗应用最广。用硅制造的半导体器件,耐高温和抗辐射性能较好,特别适宜制作大功率器件。因此,硅已成为应用最多的一种增导体材料,目前的集成电路大多数是用硅材料制造的。化合物半导体由两种或两种以上的元素化合而成的半导体材料。它的种类很多,重要的有砷化

镓、磷化锢、锑化锢、碳化硅、硫化镉及镓砷硅等。其中砷化镓是制造微波器件和集成电的重要材料。碳化硅由于其抗辐射能力强、耐高温和化学稳定性好,在航天技术领域有着广泛的应用。

制备 不同的半导体器件对半导体材料有不同的形态要求,包括单晶的切片、磨片、抛光片、薄膜等。常用的半导体材料制备工艺有提纯、单晶的制备和薄膜外延生长。所有的半导体材料都需要对原料进行提纯,要求的纯度在6个“9”以上 ,最高达11个“9”以上。提纯的方法分两大类,一类是不改变材料的化学组成进行提纯,称为物理提纯;另一类是把元素先变成化合物进行提纯,再将提纯后的化合物还原成元素,称为化学提纯。物理提纯的方法有真空蒸发、区域精制、拉晶提纯等,使用最多的是区域精制。化学提纯的主要方法有电解、络合、萃取、精馏等,使用最多的是精馏。由于每一种方法都有一定的局限性,因此常使用几种提纯方法相结合的工艺流程以获得合格的材料。绝大多数半导体器件是在单晶片或以单晶片为衬底的外延片上作出的。成批量的半导体单晶都是用熔体生长法制成的。直拉法应用最广,80%的硅单晶是用此法生产的,其中硅单晶的最大直径已达300 毫米。在熔体中通入磁场的直拉法称为磁控拉晶法,用此法已生产出高均匀性硅单晶。悬浮区熔法的熔体不与容器接触,用此法生长高纯硅单晶。用各种方法生产的体单晶再经过晶体定向、滚磨、作参考面、切片、磨片、倒角、抛光、腐蚀、清洗、检测、封装等全部或部分工序以提供相应的晶片。在单晶衬底上生长单晶薄膜称为外延。外延的方法有气相、液相、固相、分子束外延等。工业生产使用的主要是化

学气相外延,其次是液相外延。金属有机化合物气相外延和分子束外延则用于制备量子阱及超晶格等微结构。非晶、微晶、多晶薄膜多在玻璃、陶瓷、金属等衬底上用不同类型的化学气相沉积、磁控溅射等方法制成。

(二)磁性材料

磁性材料,主要是指由过度元素铁,钴,镍及其合金等能够直接或间接产生磁性的物质。从应用功能上讲,磁性材料分为:软磁材料、永磁材料、磁记录-矩磁材料、旋磁材料等等种类。软磁材料、永磁材料、磁记录-矩磁材料中既有金属材料又有铁氧体材料。磁性材料的应用很广泛,变压器磁性材料是生产、生活、国防科学技术中广泛使用的材料。如制造电力技术中的各种电机、变压器,电子技术中的各种磁性元件和微波电子管,通信技术中的滤波器和增感器,国防技术中的磁性水雷、电磁炮,各种家用电器等。此外,磁性材料在地矿探测、海洋探测以及信息、能源、生物、空间新技术中也获得了广泛的应用。磁性材料的用途广泛。主要是利用其各种磁特性和特殊效应制成元件或器件;用于存储、传输和转换电磁能量与信息,或在特定空间产生一定强度和分布的磁场;有时也以材料的自然形态而直接利用(如磁性液体)。磁性材料在电子技术领域和其他科学技术领域中都有重要的作用,可用于电声、电信、电表、电机中,还可作记忆元件、微波元件等。可用于记录语言、音乐、图像信息的磁带、计算机的磁性存储设备、乘客乘车的凭证和票价结算的磁性卡等。

软磁材料指在较弱的磁场下,易磁化也易退磁的一种铁氧体材料。软磁材料,它的功能主要是导磁、电磁能量的转换与传输。软磁材料的应用

甚广,主要用于磁性天线、电感器、变压器、磁头、耳机、继电器、振动子、电视偏转轭、电缆、延迟线、传感器、微波吸收材料、电磁铁、加速器高频加速腔、磁场探头、磁性基片、磁场屏蔽、高频淬火聚能、电磁吸盘、磁敏元件(如磁热材料作开关)等。主要用作各种电感元件,如滤波器、变压器及天线的磁性和磁带录音、录像的磁头。

永磁材料有合金、铁氧体和金属间化合物三类。 永磁材料有多种用途。①基于电磁力作用原理的应用主要有:扬声器、话筒、电表、按键、电机、继电器、传感器、开关等。②基于磁电作用原理的应用主要有:磁控管和行波管等微波电子管、显像管、钛泵、微波铁氧体器件、磁阻器件、霍尔器件等。③基于磁力作用原理的应用主要有:磁轴承、选矿机、磁力分离器、磁性吸盘、磁密封、磁黑板、玩具、标牌、密码锁、复印机、控温计等。其他方面的应用还有:磁疗、磁化水、磁麻醉等。永磁铁氧体晶体典型代表是钡铁氧体BaFe12O19。这种材料性能较好,成本较低,不仅可用作电讯器件如录音器、电话机及各种仪表的磁铁,而已在医学、生物和印刷显示等方面也得到了应用。

矩磁材料和磁记录材料 ,主要用作信息记录、无接点开关、逻辑操作和信息放大。这种材料的特点是磁滞回线呈矩形。

通过此次专业认识实习,不仅明白了以上的专业内容,还意识到了自己肩上的重大责任,在以后的专业学习过程中,一定不辜负老师的殷殷期望,努力学习,把有限的生命投入到无限的电子信息材料事业的奋斗中去。

推荐第5篇:半导体公司实习报告(共)

精选范文:半导体公司实习报告(共6篇) 为期第三个月的实习结束了,我在这三个月的实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这个月的实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

矽格公司是在1997年经历千辛万苦独立出来自主经营的公司,已经有十三多年的发展历史,以成为集研制、生产、销售、技术培训于一体,拥有高精度电脑控制机械加工中心等全套加工设备的大型专业包装设备制造厂。目前主要生产驱动类集成ic与光电鼠标等,产品包括:自动和半自动轮转循环,机械有d/b与w/b,这些机械都是日本、美国高科技的技术。具有高精度、高效率、先进的自动模切机、dbing机、wbing机等。

该半导体厂的组织机构设置很简练。主要是总经理副总经理主管管理各个部门。由于矽格公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

该厂生产的ic依据季节可以算得上的需求稳定,是属于定单供货型的生产。由于产品的质量要求和技术含量要求都很高,因此,生产周期也比较长,单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解决。

实习期间,刚好该厂正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效率生产。

质量是企业的第一信誉,是产品的形象。公司严把质量关,这就掌握了企业的未来。该公司正是怀着这种信念,检验程序相当严格,不合格的产品严格反工甚至对员工、调试工、组长进行罚款等处分。

通过这次实习,我学会了不少东西。实践出真知啊。

[半导体公司实习报告(共6篇)]篇1:半导体公司实习报告

半导体公司实习报告 为期

[半导体公司实习报告(共6篇)] 单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解决。

实习期间,刚好该厂正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效率生产。

质量是企业的篇2:半导体公司实习报告

实习时间:

2015.03.06~今 实习公司:

sk海力士是居于全球半导体存储器市场

方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对半导体检测工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

虽然现在我实习还没有结束但是我在实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这次实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

该半导体厂的组织机构设置很简练。主要是总经理——副总经理——主管管理各个部门。由于公司的设备很先进,在生产线上不会像

往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

质量是企业的

通过多做事情来积累经验。虽然我们实习生有自己明确的工作范围,但如果工作态度不够积极就可能没有事情做,所以平时就更需要主动争取多做事,这样才能多积累多提高。因为量变引起质变,我们要厚积薄发,特别是我们做的是维修工作,只有多动手修机,不断积累总结,还有多和别人交流修机经验,这样才可以又快又好的修好机器。

3、团队精神。工作往往不是一个人的事情,是一个团队在完成一个项目,在工作的过程中如何去保持和团队中其他同事的交流和沟通也是相当重要的。一位资深人力资源专家曾对团队精神的能力要求有这样的观点:要有与别人沟通、交流的能力以及与人合作的能力。合理的分工可以使大家在工作中各尽所长,团结合作,配合默契,共赴成功。个人要想成功及获得好的业绩,必须牢记一个规则:我们永远不能将个人利益凌驾于团队利益之上。在团队工作中,会出现在自己的协助下同时也从[半导体公司实习报告(共6篇)]中受益的情况,反过来看,自己本身是受益其中的,像我们维修工作,至少是两个人甚至更多人配合,才可以以较高的速率完成工作,而不浪费生产的时间,保证产量。

4、基本礼仪。步入社会就需要了解基本礼仪,而这往往是原来作为学生不大重视的,无论是着装还是待人接物,都应该合乎礼仪,才不会影响工作的正常进行。这就需要平时多学习,比如注意其他人的做法或向专家请教。重视基本礼仪,可以让自己的工作环境变得轻松,学会尊重别人,也会赢得别人的尊重。

5、为人处事。作为学生面对的无非是同学、老师、家长,而工作后就要面对更为复杂的关系。无论是和领导、同事还是客户接触,

都要做到妥善处理,要多沟通,并要设身处地从对方角度换位思考,而不是只是考虑自己的事。别人有困难的时候,自己要伸出援助之手,这样自己有困难的时候别人才会反过来帮助自己。

最后,我至少还有以下问题需要解决。

1、缺乏工作经验。因为自己缺乏经验,很多问题而不能分清主次,修机有时候不知道如何下手,没有修机思路,还有些培训或是学习不能找到重点,随着实习工作的进行,我会多多动手,多向懂得人请教,逐渐积累经验的。

2、工作态度仍不够积极。在工作中仅仅能够完成布置的工作,在没有工作任务时虽能主动要求布置工作,但若没有工作做时可能就会松懈,不能做到主动学习,这主要还是因为懒惰在作怪,在今后我要努力克服惰性,没有工作任务时主动要求布置工作,没有布置工作时作到自主学习。

3、没有及时总结经验。虽然工作的时候会比较忙,但也没有合理的利用休息时间及时总结工作当中遇到的问题(主要是维修机器和突发状况的处理)。随着今后工作经验的不断增加,我想我会合理利用休息时间总结工作中的各种问题,提高自己处理问题的能力。

4、以上就是我对实习期间的总结,虽然实习就快要结束,就要毕业了,但真正的挑战才刚要开始。只要还有明天,今天就永远是起跑线!我想,作为一个当代大学生,不管以后在什么行业,从事什么工作,都要时刻牢记:砺志、崇实、强技、尚新。

篇3:半导体实习报告

实习报告

1.实习目的:

根据学院对专科生要求,我在深圳意法半导体制造(深圳)有限公司,为期十个月的实习。毕业实习的目的是:接触实际,了解社会,增强社会主义事业心,责任感,巩固所学理论,获取专业实际知识,培养初步的工作能力,具体如下:

培养从事工作的专业技能,了解日常事物和工作流程,学会工作的方法,理解所学专业的意义。

培养艰苦奋斗的精神和社会注意责任感,形成热爱专业,热爱劳动的良好品质。

预演和准备就业,找出自身状况和社会实际所需的差距,并在以后的实践期间及时补充和改正,为[半导体公司实习报告(共6篇)]求职和正式工作做好从分的知识和能力储备。 2.实习时间:

我于2012年7月初到2013年4月底,为期十个月的实践学习

3.实习单位: 3-1.单位地址和规模:

实习单位位于深圳市龙岗宝龙社区高科大道12号,意法半导体制造(深圳)有限公司,公司是一个子公司,现拥有在职员工5000

于人,多条生产线,拥有产能70亿只/年的生产能力。

3-2.实习期间在单位主要职务:

在实习期间,协助工程师处理一些质量和工艺流程方面的问题,以及提高产品的成品率。

3-2.实习单位的历史和发展:

意法半导体制造(深圳)有限公司于2005年9月在深圳市正式注册成立,由意法半导体公司全资公司意法半导体(中国)投资有限公司出资成立,公司的成立是为了深圳市龙岗区开发建设集成电路封装测试项目,字公司成立以来到现在,已经拥有5000余名员工,8条生产线,年产能70亿只/年,涉及十几种产品,主要是封装测试稳压管。

3-3.实习单位.部门.职位:

我在意法半导体制造(深圳)有限公司,to220部门从事工程师助理,主要协助工程师解决产品质量问题和工艺流程。提高产品的成品率以及其他方面的一些实验和跟踪一些项目。

4.实习过程:

2012年7月2日,我正式在深圳意法半导体制造(深圳)有限公司,开始了为期十个月的实习之旅,刚来的时候,有7天的培训,初步了解公司的运作方式,重点强调了安全方面的培训,早晨8:30分开始上班,到晚上5:30分下班,一个星期工作40小时,海港开

始培训玩的时候,我被分到了m/d工位做工程师助理,接触和了解了很多工艺流程方面的知识,以及一定的管理方法。刚开始三个星期里面,感觉无所事事,整天在车间里逛,看,然后就是看一些资料,知道

并且也扩展了自己的视野,充实自己的时候。

实习期间,我利用了难得的机会,努力工作,严格要求自己,在遇到困难和不懂的问题的时候,就虚心向师傅请教,向有经验的老员工请教,知道弄懂为止。搞清楚原理,弄清楚方法然后在总结经验,让自己能快速的融入到工作中去,更好的快速的完成任务。同时我也利用其他空余的时间学习参考一些相关的书籍,收索一些理论资料啦完善自己对工程管理的一些知识,能够更深一步的了解理论,将实际和理论向结合起来,达到灵活运用的境界。这些也让我收获颇丰,让我对工作更加得心应手,在实习期间该厂正好是订单较多的时候,也是历年订单最多的一年,生产进行的如火如荼。我在跟随工程部的时候,才发现这个部门对产品质量需求及其严格,对风险评估也异常苛刻。比如一盒产品有3200粒,但是如果其中发现几粒贱焊锡或die裂的情况,就会讲一整合3200粒产品全部废弃掉。这也就反应了公司对产品质量要求及其严格,质量是企业的

部门主管和工程师,采取相应的方法来解决这些不合理的地方。刚开始接到任务的时候,我有点兴奋和紧张,但是更多的是担心,自己的能力能否完成这个艰巨的任务,于是变成立了一个团队,包括各个工位的主管,工程师、pm、pm hand,一起共同努力,终于在三个月后,成功的将vr系列产品的成品率提升到99%左右。这一历史性的突破不仅给公司带来了巨大的效益,也对自己的能力得到肯定,对自己带来了很大的自信心和满足感。同时上级对我的努力作出了肯定,于是在11月份左右,上级领导决定让我继续跟踪p-mos系列产品,提升vp-mos系列产品的成品率,于是我就开始了新一轮的艰苦卓绝的奋斗。到4月份虽然没有完成语气的目标,但是p-mos系列产品的成品率相比以前提升了一大截,这在以前是没有过的。继续努力,我仔细想想原因,其实操作员的压力很大,一个人照看十几台机器,有时候根本忙不过来,质量方面的检查也就相对放松了,同时长时间的劳动,qc工位质量检查也相对放松了。因为只来呢个检查的不到保证,缺陷也就会继续存在下去,成品率也就得不到提升。同时公司人流量也非常大,老员工离岗,新员工上岗,很多新员工对工艺流程不熟悉,也照成了很多产品的缺陷,最主要的还是机器老化很严重,有些机器都20 多年了,机器精度和能量输出相对不是很稳定,这是对产品成品率威胁最大的因素之一,同时这也是极难控制大的,所以提高成品率就要相对技术员加强培训,让每一个人了解工艺流程并且提升自身的能力,要求每个人都必须按照工艺流程操作,对有风险的lot必须hond住,对出料前必须做检查,并且在系统中写清楚缺陷数量,做

下页 余下全文篇4:半导体公司实习报告

实习时间:

2013.7.10~2013.8.11 实习公司:

常州市同盈电子有限公司,系专业生产半导体分立元器件企业。公司现有员工150余人,其中各类专业人员占员工总数5%。公司从事专业的半导体器件生产,有较强的技术开发能力,有先进的自动化流水线生产设备和制造技术,有完善的检验设备、检测手段和质量保证体系。

公司主要产品有各类硅塑封整流二极管、开关二极管、高效率二极管、触发二极管、肖特基二极管、硅整流桥、贴片二极管.以iso9001:2000为标准的质量体系管理,产品通过sgs环保检测认证,为客户提供优质的产品和高效的服务。产品广泛应用于军工及民用领域,为国内多家彩电、影碟机、音响、节能灯具产品生产厂配套,并远销欧美及东南亚地区,在用户中取得了良好的信誉。实习工作内容:

我的实习岗位是在测试检测部,我的主要工作是协助测试工程师使用c++程序开发一个测试系统的应用软件,同时接受部门主管的其他工作安排。检测部的前辈在实习期间向我传授了许多知识,包括影响测试的因素以及优秀测试人员应该注意的方面。他讲到了测试的苛刻性给我留下了很深的印象,比如在-65℃到165℃测试某晶片,在两种极限温度下循环若干次还要求晶片完好无损。在此期间,我不但了解了半导体芯片的加工过程,而且知道了晶片的封装工艺及其严格的检测过程,另外还学习到了一些使用的软件及其其他相关知识。

实习感悟:

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向前辈们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对半导体检测工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

为期三周的实习结束了,我在这三个星期的实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这次实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

常州市同盈电子有限公司,系专业生产半导体分立元器件企业。公司现有员工150余人,其中各类专业人员占员工总数5%。公司从事专业的半导体器件

生产,有较强的技术开发能力,有先进的自动化流水线生产设备和制造技术,有完善的检验设备、检测手段和质量保证体系。

公司主要产品有各类硅塑封整流二极管、开关二极管、高效率二极管、触发二极管、肖特基二极管、硅整流桥、贴片二极管。产品广泛应用于军工及民用领域,为国内多家彩电、影碟机、音响、节能灯具产品生产厂配套,并远销欧美及东南亚地区,在用户中取得了良好的信誉。

该半导体厂的组织机构设置很简练。主要是总经理——副总经理——主管管理各个部门。由于矽格公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

该厂产品依据季节可以算得上的需求稳定,是属于定单供货型的生产。由于产品的质量要求和技术含量要求都很高,因此,生产周期也比较长。生产部门主要包括,采购,技术,生产,供应。我的实习岗位是在测试检测部,我的主要工作是协助测试工程师使用c++程序开发一个测试系统的应用软件,同时接受部门主管的其他工作安排。检测部的前辈在实习期间向我传授了许多知识,包括影响测试的因素以及优秀测试人员应该注意的方面。他讲到了测试的苛刻性给我留下了很深的印象,比如在-65℃到165℃测试某晶片,在两种极限温度下循环若干次还要求晶片完好无损。在此期间,我不但了解了半导体芯片的加工过程,而且知道了晶片的封装工艺及其严格的检测过程,另外还学习到了一些使用的软件及其其他相关知识。

质量是企业的

不断积累总结,还有多和别人交流修机经验,这样才可以又快又好的修好机器。

3、团队精神。工作往往不是一个人的事情,是一个团队在完成一个项目,在工作的过程中如何去保持和团队中其他同事的交流和沟通也是相当重要的。一位资深人力资源专家曾对团队精神的能力要求有这样的观点:要有与别人沟通、交流的能力以及与人合作的能力。合理的分工可以使大家在工作中各尽所长,团结合作,配合默契,共赴成功。个人要想成功及获得好的业绩,必须牢记一个规则:我们永远不能将个人利益凌驾于团队利益之上。在团队工作中,会出现在自己的协助下同时也从中受益的情况,反过来看,自己本身是受益其中的,像我们维修工作,至少是两个人甚至更多人配合,才可以以较高的速率完成工作,而不浪费生产的时间,保证产量。

4、基本礼仪。步入社会就需要了解基本礼仪,而这往往是原来作为学生不大重视的,无论是着装还是待人接物,都应该合乎礼仪,才不会影响工作的正常进行。这就需要平时多学习,比如注意其他人的做法或向专家请教。重视基本礼仪,可以让自己的工作环境变得轻松,学会尊重别人,也会赢得别人的尊重。

5、为人处事。作为学生面对的无非是同学、老师、家长,而工作后就要面对更为复杂的关系。无论是和领导、同事还是客户接触,都要做到妥善处理,要多沟通,并要设身处地从对方角度换位思考,而不是只是考虑自己的事。别人有困难的时候,自己要伸出援助之手,这样自己有困难的时候别人才会反过来帮助自己。 最后,我至少还有以下问题需要解决。

1、缺乏工作经验。因为自己缺乏经验,很多问题而不能分清主次,修机有时候不知道如何下手,没有修机思路,还有些培训或是学习不能找到重点,随着实习工作的进行,我会多多动手,多向懂得人请教,逐渐积累经验的。

2、工作态度仍不够积极。在工作中仅仅能够完成布置的工作,在没有工作任务时虽能主动要求布置工作,但若没有工作做时可能就会松懈,不能做到主动学习,这主要还是因为懒惰在作怪,在今后我要努力克服惰性,没有工作任务时主动要求布置工作,没有布置工作时作到自主学习。

3、没有及时总结经验。虽然工作的时候会比较忙,但也没有合理的利用休息时间及时总结工作当中遇到的问题(主要是维修机器和突发状况的处理)。随着今后工作经验的不断增加,我想我会合理利用休息时间总结工作中的各种问题,提高自己处理问题的能力。

[半导体公司实习报告(共6篇)] 以上就是我对实习期间的总结,虽然实习就快要结束,就要毕业了,但真正的挑战才刚要开始。只要还有明天,今天就永远是起跑线!我想,作为南职人,不管以后在什么行业,从事什么工作,都要时刻牢记我们的校训:砺志、崇实、强技、尚新。以实际行动来证明作为南职人的骄傲!

篇5:半导体设备有限公司实习报告

实习报告

一、研究的目的及意义

由于led主要被用作指示或显示灯用,而且一般以单颗器件出现,所以对于其波长的分选和亮度的控制要求并不高。但随着led的效率和亮度的不断提高,其应用范围越来越广。当led作为阵列显示和显示屏器件时,由于人眼对于颜色波长和亮度的敏感性,用没有分选过的led变就会产生不均匀的现象,进而影响人们的视觉效果。波长和光亮度的不均匀都会给人产生不舒服的感觉。这是各led显示器制造厂家都不愿意看到的,也是人们无法接受的。led通常按照主波长、发光强度、光通亮、色温、工作电压、反向击穿电压等几个关键参数进行测试与分选。led的测试与分选是led生产过程中的一项必要工序。目前,它是许多led芯片和封装厂商的产能瓶颈,也是led芯片生产和封装成本的重要组成部分。

人眼对于光的颜色及亮度的分辨率非常高,先特别是对于颜色的差别和变化非常敏感。对于不同颜色波长的光人眼的敏感度是不同的。例如,对于波长是585nm光,当颜色变化大于1nm时,人眼就可以感觉到;而对于波长为650nm的红光,当颜色变化在3nm的时候,人眼才能察觉到。所以对led进行分选很有必要,因此研究led的分选方法、分选设备、分选技术有重要意义,对进一步优化设备,提高分选效率和精度打基础。

二、实习的过程及内容

我实习的公司华腾半导体设备有限公司是一家生产包装led的编带机和分选led的分选机。实习的一个月,我实习的内容有了解这些设备的结构和工作原理,看懂其电气原理图,弄懂设备上的每个部件和每一条线路的作用(例如设备上有很多传感器,其作用是各不相同的,有的是限位传感器,有的是计数传感器,有的是料控传感器),并学会调试这些设备,为以后开发设计其新功能,和根据客户的要求设计这些设备,设计其控制程序打基础。同时我还得练习拧螺丝、焊锡、剥线、压线铒、接电气线路等基本功。因为这家公司所用的plc是欧姆龙的,而我在学校学的是三菱的,所以我还得学习欧姆龙的plc。这些机器用的电机都是交流伺服电机,而我对伺服电机的原理不是很清楚,所以实习期间我在公司上了三小时关于伺服电机的培训课。我实习的过程是在车间白天干活比如焊锡、接线等,晚上加班见习,请教老工人怎样调试机器,各部件的名称等问题,或网上收集资料学习。

三、实习结论结果

在为期一个月的实习期间,我学到了很多关于编带机和分选机的知识,在这里摘取一些关于分选机的知识来讲。

1、led的分选方法 led的分选有两种方法:一是以芯片为基础的测试分选,二是对封装好的led进行测试分选。华腾公司的分选机用的是

目前,芯片的测试分选有两种方法:一种方法是测试分选由同一台机器完成,它的优点是可靠,但速度很慢,产能低;另一种方法是测试和分选由两台机器完成,测试设备记录下每个芯片的位置和参数,然后把这些数据传递到分选设备上,进行快速分选、这样做的优点是快速,但缺点是可靠性比较低,容易出错,因为在测试与分选两个步骤之间通常还有衬底减薄和芯片分离的工艺过程,而在这个过程中,外延片有可能碎裂、局部残缺碎裂或局部残缺,使得实际的芯片分布与储存在分选机里的数据不符,造成分选困难。华腾公司用的是

同的尺寸,不同的发光角度,不同的客户要求,不同的应用要求,这使用权得完全通过led测试分选取进行产品的分选变得很难操作。而且目前led的应用主要分布在几个波长段和亮度段的范围,一个封装厂很难准备全部客户需要的各种形式和种类的led.所以问题的关键又回到mocvd的外延工艺过程,如何生长出所需波长及亮度的led外延片是降低成本的关键点,这个问题不解决,led的产能及成本仍将得不到完全解决。但在外延片的均匀度得到控制以前,比较行之有效的方法是解决快速低成本的芯片分选问题。

2、分选设备

华腾的分选机的工作过程是这样的,首先振动盘供料,直轨道传输,然后上料到转盘进行测试,根据测试结果把led分到不同的bin。分选机系统特征:高度灵活性,低成本,全自动拣片; 快速转换不同芯片尺寸和可预设置输出载体的变化; 可选择的芯片测试参数;自定义芯片分级模式,最高可支持80级(bin)的分级; 高速精确的图形识别系统,自动异常处理; 可调节的运行速度,高度自动化。

分选机系统组成

分选机主要包括:可调节的芯片供料台、机械手、微探针芯片测试台、芯片bin台、图形采集ccd、光电测试仪以及软件处理系统。

可调节的芯片供料台

芯片供料台包括一个高精度的二维伺服平台,高度灵敏的可调节顶针以及调节芯片圆环旋转的系统。

分选机储料台

芯片供料台能够适应3英寸至12英寸(300mm)在圆环或框架上的圆片。通过调节顶针的顶起的高度帮助机械手从供料台上拾取芯片。

分选机分选台

机械手

分选机系统中包括两组机械手。一组机械手负责将芯片从芯片供料台转移到芯片测试台;另一组机械手负责将芯片从芯片测试台转移到芯片bin台。

机械手可通过更换不同尺寸的真空吸嘴来适应不同规格的芯片。各种标准或定制的晶粒吸头和顶针可供客户选择,以便更好地满足客户的应用。通常可处理尺寸大于250微米见方,厚度大于50μm的晶粒。还提供一种带有直径低于5密耳的真空小孔的碳化钨尖端工具,加上其阶段运作控制,可以处理小至7mil的晶粒。同时,通过调整机械手的下降高度和速度来匹配不同规格的芯片,达到不损坏芯片的目的。

微探针芯片测试台

微探针芯片测试台包括一组可升降的微探针和带有真空吸附能力的基台。 微探针芯片测试台可以检测p/n级在一面和p/n级在两面的两种规格的芯片,可通过拆卸或安装一根探针实现。分选机采用的是一种具有一定弹性的微探针,这样可以既可保证在测试过程中与芯片有效接触又保证芯片在测试过程中不受到损伤。

芯片bin台

芯片bin台主要包括一组二维伺服运动平台和32--80个芯片盒,常用32个芯片盒分别对应1-32个等级。用户可根据当前测试的圆片上可能的芯片级别比例调整32个等级在bin台上的对应位置,以达到优化系统运行速度的目的。各种用于固定输出装置的销钉,分选台的数量可以根据客户要求提供32,48,64,

80等不同机型;可以用来固定各种晶粒盒,胶装盒和薄膜框。用于固定非标准输出载体的异形夹具台也可以根据客户要求提供。

图形采集ccd 分选机系统采用进口的ccd高速相机、高倍率镜头、图形采集卡以及高效图形处理软件。图片处理速度最高可达到10毫秒以内。用户可根据圆片的实际情况设置图形处理的参数。

光电测试仪

分选机系统采用具有自主知识产权的芯片电性检测设备和进口光谱分析仪器。可测试以下芯片参数:正向电压、反向电压、正向电流、反向电流、闸流、光强、波长、xyz、色温、色纯度、红外功率、半波宽、峰值波长等。其中电压精度可达到±0.01伏,电流精度可达到±0.0001毫安,波长精度可达到±0.1纳米。

在实际工作过程中,用户可根据实际选择需要的测试参数,并进行分级。分选机系统的软件处理系统充分考虑用户的实际情况,软件操作界面简洁,并通过权限来控制不同身份的操作人员的操作。部分已挑粒的圆片图像将生成日志文件,该文档包括每个已放置晶粒的数据;包括原来在晶圆上的位置和实际放置的晶粒室的号码。最终将每粒芯片在芯片盒上的位置定位。工程师便能看到芯片盒上芯片来自晶格上任何bin数的储存记录,可以根据测试参数来提供给客户任意波长,亮度范围的晶片,这样能够实现更快的工艺纠正和产能改善。同时,对芯片的测试结果,软件会进行实时分析,并给出相关的图形,这样能够更快的优化系统,实现产能提高。所有工程师及操作人员的操作记录和各项参数都会被系统记录下来,方便后来同样情况下的系统运行工作和对问题的纠正。

软件系统提供了必要的设备调试工具,用户可以通过这些工具更快的发现和解决设备的一些问题。

四、实习总结和体会

经过一个月的实习,收获颇丰,我学到了很多知识,也提高了我的动手能力和解决问题的能力,当设备不正常时,我很喜欢去帮忙排查故障原因,每次都能很快地帮忙查出故障原因,比如某条线接触不良,或者是控制两个电机的线接反了,导致该动的电机没动,不该动的电机却动了。实习期间,我接过整台分选机的线路,加深了对分选机的电路图的原理和各部件的作用的理解。这次实习,为我提供了充分的动手机会和把理论知识灵活运用于实践的用实践检验理论的机会,巩固了我的某些专业知识,扩宽了我的知识面。

[半导体公司实习报告(共6篇)] 实习单位:深圳市华腾半导体设备有限公司

姓名:

实习结束日期:2014年4月1日

篇6:海力士半导体有限公司生产实习报告

海力士半导体有限公司生产实习报告

海力士半导体(中国)有限公司是由韩国海力士半导体在江苏无锡新区出口加工区合资建造的世界一流存储器制造企业。

上周,我们满怀热情地进了海力士,受到了相关工作人员的热情招待。首先公司主管向我们介绍了海力士的发展和企业文化,面对大四学子找工作之际,人事主管还回答了我们关于面试,专业要求等相关方面的问题。接着我们参观了高科技展览厅,接触了海力士的一些最新科研成果,刹那间使我们感觉到仿佛置身于一个琳琅满目的高科技电子产品世界。随后,我们来到生产车间参观了12英寸晶元全自动生产线,只见生产线上全是自动化得小车在工作,工作人员身穿制服在电脑前监测,偌大的生产车间,高科技的生产技术让我们深深体会到科技的力量,瞬间有种学好科学文化知识的必要性和紧迫性。最后,我们来到了公司的展览厅,工作人员向我们介绍了公司的建筑,企业规划以及发展历程。海力士-恒忆半导体有限公司是由韩国(株)海力士半导体和欧洲numonyx.b.v.公司在江苏无锡新区出口加工区合资建造的世界一流存储器制造的外商独资公司。其主要产品为8英寸及12英寸集成电海力士的路晶圆,应用范围涉及存储器、消费类产品、移动、soc及系统ic等领域。公司将采用世界最先进的技术来生产dram和nand 闪存。该项目是无锡市惟一获得国务院核准建设的工业项目,总投资为35亿美元,是国内半导体单体投资规模最大、技术最先进的项目,也是江苏省最大的外商独资项目。作为两个在半导体行业举足轻重集团的合资公司,海力士-恒忆半导体有限公司必将迈着稳健的步伐,前进在成长的繁荣道路上。

海力士的企业文化是最吸引人的地方,以“仁、和、义、立、勤”为核心价值,履行企业的社会责任,积极参与公益事业。公司员工的福利待遇也非常好,配备的员[半导体公司实习报告(共6篇)]工宿舍,双人间包括冰箱、空调、卫生间,计水电费在内员工只需要每月交30元。厂区后面还有偌大的足球场,公司还组织拔河,篮球赛等活动,丰富多彩的生活让员工对工作充满热情。在生产车间我还看到了“一起工作,一起吃饭,一起喝酒”的标语,足见公司领导和员工之间亲切的关系。

通过本次认识实习,自己了解电信专业的发展前景,开阔了眼界,增加了见闻,明白了一些通信设备的简单原理,也明白了目前该行业的最新发展,把平时书本的知识应用在了实践中,自己得到了很多宝贵的知识财富,另一面自己也看见了自己的不足,还需要努力学习,了解更多相关知识,丰富自己的阅历,多请教老师,和有关人员,通过各个渠道学习和了解电子信息工程的有关知识。

推荐第6篇:半导体工艺实习心得体会

半导体工艺实习心得体会

12023110 王宁

这是我们第一次参加工艺实习,这让我不免有些好奇和激动。

记得大一新生研讨课的时候参观过我们的工艺实习间,这次又来,故并没有感到这个工艺间很陌生。说实在的,就在进入工艺间并换好行头后,自己真的有种要兢兢业业干一番事业的感觉了,但在之后的对准练习这个环节,我深深的怀疑了自己的能力。

由于组内男生较多,所以很多体力活他们都主动承担了,特别感谢他们。组长主动担任起最危险的煮王水的这一道工艺,细心的李军和魏行则进入光刻间进行光刻和甩胶的任务。 记得我第一次尝试光刻对准这道工序的时候,对的一点都不准,之后对照着老师对准的模版,才把握住这道工艺的要领,笨鸟就要多练习,渐渐的我在练习中也增长了经验。

甩胶这一步,首先是自己不能紧张,手越抖,胶就越不能滴准到吸盘的正中心,也就甩不均匀。而且一定要心细,时刻注意保护光刻胶,不能让它曝光。 接着就是扩散的工艺,因为加热设备温度能达到上千度,炉口的温度也很高,所以在送、取硅片的时候都要在高温下保持住平稳,这样硅片才能正正的放进炉中。

工艺实习虽然很短暂,但就在这么短暂的两天的时间里,真的要比在课堂上听两天的课学到的多。

推荐第7篇:HX1082_七管半导体收音机_实习报告

目录

一、实习目的

二、实习使用工具

三、收音机的工作原理

四、装配过程

五、收音机的故障分析

六、实习心得

七、附录

一、实习目的

1、掌握HX108-2 七管半导体收音机各功能模块的基本工作原理;

2、掌握调幅接收系统的调试过程及故障排除;

3、通过对收音机的安装、焊接及调试,了解电子产品的生产制作过程;

4、培养学生分析问题、发现问题和解决问题的能力;

6、学会利用工艺文件独立进行整机的装焊和调试,并达到产品质量要求。

二、实习使用工具

1、元器件及常用工具的认识

所用电子元器件:HX108-2七管半导体调幅收音机套件;

2、常用电子元器件介绍:

电阻:电阻的读法,电阻上一共有四个色标,颜色为金银的一端肯定为末端, 读的方式如图:

二极管:二极管分正负极,只有当电源正极接二级管正极时,二极管才能导通,二极管主要用于数字电路中,用来控制高低电平。 三极管:三极管是一种放大元件,主要用于电流的放大 所用到的工具、器材:

电烙铁

镊子

万用表 HX108-2七管半导体收音机完整组件

焊锡丝

两节5号电池 电路图、元件清单

三、收音机的工作原理

本机电路图如图所示。由B1及C1-A组成的天线调谐回路感应出广播电台的调幅信号,选出我们所需的电台信号f1进入V1基极,本振信号调谐在高出f1一个中频(465KHz)的f2进入V1发射极,由V1三极管进行变频(或称混频),在

V1集电极回路通过B3选取出f2与f1的差频(465KHz中频)信号;中频信号经V2和V3二级中频放大,进入V4检波管,检出音频信号经V5低频放大和由V

6、V7组成变压器耦合功率放大器进行功率放大,推动扬声器发声。图中D

1、D2组成1.3V±0.1V稳压,提供变频、一中放、二中放、低放的基极电压,稳定各级工作电流,保证整机灵敏度。V4发射结结用作检波。R

1、R

4、R

6、R10分别为V

1、V

2、V

3、V5的工作点调整电阻,R11为V

6、V7功放级的工作点调整电阻,R8为中放的AGC电阻,B

3、B

4、B5为中周(内置谐振电容),既是放大器的交流负载又是中频选频器,该机的灵敏度、选择性等指标靠中频放大器保证。B

6、B7为音频变压器,起交流负载及阻抗匹配的作用。本机由3V直流电压供电。为了提高功放的输出功率,因此,3V直流电压经滤波电容C15去耦滤波后,直接给低频功率放大器供电。而前面各级电路是用3V直流电压经过由R

12、VD

1、VD2组成的简单稳压电路稳压后(稳定电压约为1.4V)供电。目的是用来提高各级电路静态工作点的稳定性。(“×”为各级Ic工作电流测试点)

HX108-2 七管半导体收音机 电原理图

四、装配过程

(1)、插件焊接:

1、逐个焊接,其高低、极向应符合图纸规定。

2、焊点要光滑,大小最好不要超出焊盘,不能有虚焊、搭焊、漏焊。

3、注意二极管、三极管的极性以及色环电阻的识别。

4、输入(绿或蓝色)、输出(黄色)变压器不能调换位置。

5、红中周Tr2插件后外壳应弯脚焊牢,否则会造成卡调谐盘。

6、焊好大小件后再找到五个断点,经过测量电流值是不是在规定的范围后,就可以用焊锡直接焊接连接,如果不在就必须得找出原因修改后再次测量,以确保在最佳的工作点。(2)、收音机的组合装配

1、把天线用天线支架架好,并用双联螺钉固定到双联CBM-223P处;

2、把喇叭用适当的方法压入收音机盒,再把电源正极片和负极簧装入收音机盒相应位置,并装好另一端的连接片;

3、将两个电位器拨盘用对应的螺钉固定;最后装上电池

五、收音机的故障分析

① 整机静态总电流测量:本机静态总电流≤25mA,无信号时若大于25mA,则该机出现短路或局部短路,无电流则电源没接上。

② 工作电压测量:总电压为3V,正常情况下,D

1、D2两二极管电压在1.3±0.1V,此电压大于1.4V或小于1.2V时,此机均不能正常工作。大于1.4V时二极管可能极性接反或已坏,检查二极管。小于1.3V或无电压应检查:电源3V有无接上;R12电阻220Ω是否接对或接好;中周(特别是白中周和黄中周)初级与其外壳短路。

③ 变频级无工作电流:检查点:无线线圈次级未接好;三级管已坏或未按要求接好。

④ 一中放无工作电流:检查点:V2晶体管坏,或(V2)管管脚插错(e、b、c脚); R420K电阻未接好;黄中周次级开路; C44.7μ电解电容短路。 ⑤ 二中放无工作电流:检查点:黑中周初级开路;黄中周次级开路;晶体管坏或管脚接错。

⑥ 低放级无工作电流:检查点:输入变压器(蓝)初级开路;V5三级管坏或接错管脚。

⑦ 功放级无电流(V

6、V7管):检查点:输入变压器次级不通;输出变压器不通;V

6、V7三极管坏或接错管脚。

⑧ 整机无声:检查点: 检查电源有无加上检查D

1、D2;有无静态电流≤25mA;检查各级电流是否正常,变频级0.2mA±0.02mA;一中放0.6mA±0.2mA;二中放1.5mA±0.5mA;低放3mA±1mA;功放4mA±10mA;(说明:15mA左右属正常);用万用表×1档测查喇叭,应有8Ω左右的电阻,表棒接触喇叭引出接头时应有“喀喀”声,若无阻值或无“喀喀”声,说明喇叭已坏,[测量时应将喇叭焊下,不可连机测量]。 用万用表检查的方法:

用万用表Ω×1黑表棒接地,红表棒从后级往前寻找,对照原理图,从喇叭开始顺着信号传播方向逐级往前碰触,喇叭应发出“喀喀”声。当碰触到哪级无声时,则故障就在该级,可用测量工作点是否正常,并检查各元器件,有无接错、焊错、塔焊、虚焊等。若在整机上无法查出该元件好坏,则可拆下检查。

六、实习心得

1、对电子工艺的理论有了初步的系统了解。我们了解到了焊普通元件与电路元件的技巧包括电阻、电容、二极管、三极管、中周、输入输出变压器,收音机的工作原理与组成元件的作用等。这些知识不仅在课堂上有效,对以后的学习有很大的指导意义,在日常生活中更是有着现实意义。

2、对自己的动手能力是个很大的锻炼。也验证了一句名言:纸上得来终觉浅,觉知此事要躬行。在实习中,我锻炼了自己动手技巧,提高了自己解决问题的能力。比如做万用表组装与调试时,好几个焊盘的间距特别小,稍不留神,就焊在一起了,但是我还是完成了任务。

3.这次实习,使我更深刻地了解到了实践的重要性,通过实习我们更加体会到了“学以致用”这句话的道理。

七、附录

1、电路图

R12220D1IN4148C13223R1324KR420KR662KC14100uR1051KR111KC15100uD2IN4148R1150KC11WHITEB435V29018H1R3100425V329018H141442231C1C13V19081H2121R81KC8V59013HV79013H2C12345551B5BLACK223V49013H4B63V69013H122SPKB73YELLOW3B32B2RED53223R9680C44.7uR5150C6C3103C2223R22K2C5223R751C7223C91223C104.7D3IN4148K3VDC2233

2、装配图

3、原件清单

NO.1 2 3 4 5 6 7 8 9 10 11 12 13 部件类型 1K 1K 2K2 4.7U 4.7U 8Ω 20K 24K 51 51K 62K 100 100U 序号 R8 R11 R2 C10 C4 SPK R4 R13 R7 R10 R6 R3 C14

封装 R1 R1 R1 CAP0.2 CAP0.2 SPKFG R1 R1 R1 R1 R1 R1 CAP0.2

NO.25 26 27 28 29 30 31 32 33 34 35 36 37

部件类型 223 223 223 680 9013H 9013H 9013H 9018H 9018H 9018H 9018H A B1 序号 C9 C6 C5 R9 Q7 Q6 Q5 Q1 Q3 Q2 Q4 C1 封装 CAP0.1 CAP0.1 CAP0.1 R1 Q7FG Q16FG Q16FG Q16FG Q16FG Q16FG Q16FG C1ABFG TXFG

14 100U C15 CAP0.2 38 DC 15 103 C3 CAP0.1 39 IN4148 16 150 R5 R1 40 IN4148 17 150K R1 R1 41 IN4148 18 220 R12 R2 42 K 19 223 C12 CAP0.1 43 T2 20 223 C2 CAP0.1 44 T3 21 223 C11 CAP0.1 45 T4 22 223 C13 CAP0.1 46 VOL 23 223 C7 CAP0.1 47 输出变压器(黄、红) 24 223 C8 CAP0.1 48 输入变压器(兰、绿)

4 元件库汇总

中周红

中周(黄白黑)

双联CBM223P

输出变压器

开关电位器

3V

DCZHFG D3 DAP123 D1 DAP123 D2 DAP123 K KZHFG T2 TFG T3 TFG T4 TFG 5K VOL0.1 B3 B3FG B2 B2FG

扬声器

5、HX108-2七管半导体收音机印制电路板图

推荐第8篇:半导体公司的实习报告样文

您正在浏览的实习报告是半导体公司的实习报告样文

为期第三个月的实习结束了,我在这三个月的实习中学到了很多在课堂上根本就学不到的知识,受益非浅。现在我就对这个月的实习做一个工作小结。

实习是每一个大学毕业生必须拥有的一段经历,他使我们在实践中了解社会,让我们学到了很多在课堂上根本就学不到的知识,也打开了视野,长了见识,为我们以后进一步走向社会打下坚实的基础。实习使我开拓了视野,实习是我们把学到的理论知识应用在实践中的一次尝试。实习时把自己所学的理论知识用于实践,让理论知识更好的与实践相结合,在这结合的时候就是我们学以致用的时候,并且是我们扩展自己充实自己的时候。

实习期间,我利用此次难得的机会,努力工作,严格要求自己,遇到不懂的问题就虚心地向师傅们请教,搞清原理,找到方法,然后再总结经验,让自己能很快融入到工作中去,更好更快的完成任务。同时我也利用其他时间参考一些书籍、搜索一些材料来完善自己对策划管理工作的认识,这也让我收获颇多,让我在应对工作方面更加得心应手。

矽格公司是在1997年经历千辛万苦独立出来自主经营的公司,已经有十三多年的发展历史,以成为集研制、生产、销售、技术培训于一体,拥有高精度电脑控制机械加工中心等全套加工设备的大型专业包装设备制造厂。目前主要生产驱动类集成ic与光电鼠标等,产品包括:自动和半自动轮转循环,机械有d/b与w/b,这些机械都是日本、美国高科技的技术。具有高精度、高效率、先进的自动模切机、dbing机、wbing机等。

该半导体厂的组织机构设置很简练。主要是总经理——副总经理——主管管理各个部门。由于矽格公司的设备很先进,在生产线上不会像往常的工厂那样满布工人,主要是某三五个人负责工作流程。这对我了解该工厂的生产流程提供了方便。

该厂生产的ic依据季节可以算得上的需求稳定,是属于定单供货型的生产。由于产品的质量要求和技术含量要求都很高,因此,生产周期也比较长,单次产品需求的数量也不大。同时,每台产品的价格非常昂贵,在万元以上。生产部门主要包括,采购,技术,生产,供应。我被安排在技术生产部工作。但其工作并不是坐在办公室悠闲地搞技术,而是跟住生产随时跑,没得座,出现问题就及时解决。

实习期间,刚好该厂正是定单最鼎盛时候,也就是历年来定单最多的一年,生产进行得如火如荼。我在跟随生产部门工作的时候,方才发现,生产这部门,在企业中极其重要。它是一个公司的根源,其他的管理基层都是辅助生产高效率生产。

质量是企业的第一信誉,是产品的形象。公司严把质量关,这就掌握了企业的未来。该公司正是怀着这种信念,检验程序相当严格,不合格的产品严格反工甚至对员工、调试工、组长进行罚款等处分。

通过这次实习,我学会了不少东西。实践出真知啊。

推荐第9篇:半导体材料报告(推荐)

半导体材料—硅

摘要

半导体材料是制作半导体器件和集成电路的电子材料,是半导体工业的基础。利用半导体材料制作的各种各样的半导体器件和集成电路,促进了现代信息社会的飞速发展。本文就半导体硅材料作了简单介绍。

引言

能源、信息、材料是人类社会的三大支柱。半导体硅材料则是电子信息产业(尤其是集成电路产业)和新能源、绿色能源硅光伏产业的主体功能材料,硅材料的使用量至今仍然占全球半导体材料的95%以上,是第一大电子功能材料,且早已是一种战略性的物资和产业。[1]20世纪中叶,单晶硅和半导体晶体管的发明及其硅集成电路的研制成功,导致了电子工业革命,随着科技的发展,半导体材料越来越多。[2]半导体材料是一类具有半导体性能、可用于制作半导体器件和集成电路的电子材料.硅材料是当今产量最大、应用最广的半导体材料,是集成电路产业和光伏产业的基础。硅材料的发展对推动我国相关产业实现技术跨越、增强国际竞争力、保持社会经济可持续发展和保障国家安全均起着重要作用。[3]

1、硅的分类

硅也是极为常见的一种元素,属于元素周期表上第三周期,IVA族的类金属元素。然而它极少以单质的形式在自然界出现,而是以复杂的硅酸盐或二氧化硅的形式,广泛存在于岩石、砂砾、尘土之中。硅在宇宙中的储量排在第八位。在地壳中,它是第二丰富的元素,构成地壳总质量的26.4%,仅次于第一位的氧。硅根据物理性质分为无定形硅和晶体硅两种。

1.1无定型硅

无定型硅又称非晶硅,非晶硅是一种直接能带半导体,它的结构内部有许多所谓的“悬键”,也就是没有和周围的硅原子成键的电子,这些电子在电场作用下就可以产生电流,并不需要声子的帮助,因而非晶硅可以做得很薄,还有制作成本低的优点。在70年代确实有过制备非晶硅的沸沸扬扬的高潮。事实上,非晶硅光电池已经广为使用,例如许多太阳能计算器、太阳能手表、园林路灯和汽车太阳能顶罩等就是用非晶硅作为光电池的基本材料的。非晶硅在太阳辐射峰附近的光吸收系数比晶体硅大一个数量级。禁带宽度1.7~1.8eV,而迁移率和少子寿命远比晶体硅低。现已工业应用,主要用于提炼纯硅,制造太阳电池、薄膜晶体管、复印鼓、光电传感器等。

制造非晶硅的方法有多种,最常见的是辉光放电法和等离子增强化学气相沉积法(PECVD)[12]。此外还有反应溅射法、电子束蒸发法和热分解硅烷法等等。非晶硅产业化是利用化学气相沉积法制造的,硅烷气体流入真空反应器,利用高频放电等方法分解硅烷,使非晶硅沉积在基板上。硅烷中混入含有P或B 的PH,、B:H6气体,町得到N型或P型非晶硅。[6]

1.2晶体硅

1.2.1单晶硅

高纯的单晶硅是重要的半导体材料。在单晶硅中掺入微量的第IIIA族元素,形成p型硅半导体;掺入微量的第VA族元素,形成n型半导体。p型半导体和n型半导体结合在一起形成p-n结,就可做成太阳能电池,将辐射能转变为电能。在开发能源方面是一种很有前途的材料。另外广泛应用的二极管、三极管、晶闸管、场效应管和各种集成电路(包括人们计算机内的芯片和CPU)都是用硅做的原材料。近年来,各种晶体材料特别是以单晶硅为代表的高科技附加值材料及其相关高技术产业的发展,成为当代信息技术产业的支柱,并使信息产业成为全球经济发展中增长最快的先导产业.单晶硅作为一种极具潜能、亟待开发利用的高科技资源,正引起越来越多的关注.当今世界超过2 000亿美元的电子通信半导体市场中,95%以上的半导体器件和99%以上的集成电路应用单晶硅。[3]近几年,我国半导体产业及光伏产业的发展给国内硅材料产业带来了前所未有的机遇,单晶硅产量明显稳步增长.单晶硅品种有区熔单晶硅和直拉单晶硅。[8]世界上直拉硅单晶和区熔硅单晶的用量约为9:1,直拉硅主要用于集成电路和晶体管,其中用于集成电路的直拉硅单晶由于其有明确的规格,且其技术要求严格,成为单独一类称集成电路用硅单晶。区熔硅主要用于制作电力电子元件,纯度极高的区熔硅还用于射线探测器。硅单晶多年来一直围绕着纯度、物理性质的均匀性、结构完整性及降低成本这些问题而进行研究与开发。

1.2.2多晶硅

多晶硅,是单质硅的一种形态。熔融的单质硅在过冷条件下凝固时,硅原子以金刚石晶格形态排列成许多晶核,如这些晶核长成晶面取向不同的晶粒,则这些晶粒结合起来,就结晶成多晶硅。

多晶硅是由冶金级硅(硅含量为95%~99%)经一系列化学物理提纯而得到的半导体材料。根据其纯度的不同,大体可分为电子级多晶硅和太阳能级多晶硅两 大类,电子级多晶硅一般要求硅含量至少在6N以上,最高甚至可达11N,太阳能级多晶硅纯度则稍低,处于冶金级与电子级之间。[9]多晶硅锭的制备工艺主要包括定向凝固法及浇铸法。[4,7]定向凝固法是将硅料放在坩埚中熔融,然后将坩埚从热场逐渐下降或从坩埚底部通冷源,以造成一定的温度梯度,固液界面则从坩埚底部向上移动而形成晶锭。浇铸法是将熔化后的硅液从坩埚中导入另一模具中形成晶锭。此法由于受热场的影响,所得晶锭材质不如定向凝固法所得。[4]目前,市场上超过50%的多晶硅片均是由定向凝固法生长所得。[5]

2、硅的特性 2.1、电学特性

半导体材料的电学性质特点:一是导电性介于导体和绝缘体之间,其电阻率约在10-4-1010Ω.cm范围内;二是电导率和导电型号对杂质和外界因素(光\\热\\磁)高度敏感。无缺陷半导体的导电性很差,称为本征半导体。当硅中掺入微量的电活性杂质,其电导率将会显著增加,例如,向硅中掺入亿分之一的硼,其电阻率就降为原来的千分之一。当硅中掺杂以施主杂质(Ⅴ族元素:磷、砷、锑等)为主时,以电子导电为主,成为N型硅;当硅中掺杂以受主杂质(Ⅲ族元素:硼、铝、镓等)为主时,以空穴导电为主,成为P型硅。硅中P型和N型之间的界面形成PN结,它是半导体器件的基本结构和工作基础。 硅也存在不足之处,硅的电子迁移率比锗小。尤其比GaAs小。所以简单的硅器件在高频下工作时其性能不如锗或GaAs高频器件。此外,GaAs等化合物半导体是直接禁带材料,光发射效率高,是光电子器件的重要材料,而硅是间接禁带材料,由于光发射效率很低,硅不能作为可见光器件材料。

2.2、光学特性

自从1990年L.T.Canham发现了多孔硅在室温下的光致发光现象后,由于硅材料在光电子、微电子和生物医学领域的应用前景,使得硅材料的研究引起了科学晃的极大兴趣。十多年来,经过科学家对硅基材料的合成以及特性的研究,他们建立了多种模型来分析硅材料的光致发光原因,尽管这样,但对于硅纳米结构的发光机理还不是很清楚。主要原因之一是多孔硅的内表面非常大很容易被电解液或空气中的不纯物质污染,这些不纯物质对多孔硅的光学和电学特性都有影响,也影响了发光机理的分析。[10]

现代电子工业中,晶体硅由于易提纯,易掺杂,耐高温等等优点得以在半导体行业中广泛应用。数十年来,硅表面一直是基础研究的热点。我们知道晶体硅表面从可见光到红外波段的反射率都相当高;普通晶体硅的禁带宽度1.07ev,对应的波长约为1.1 um,也就是说,普通晶体硅无法吸收波长大于1.1 u m的光波,因为此时光子能量小于硅的禁带宽度,这些因素极大地限制了硅基光电器件的可用波段、灵敏度和转换效率。基于硅材料在工业中的重要性,如能克服这些缺点,硅材料将得到更多的应用。

3、发展趋势 非晶硅

非晶硅作为太阳能材料尽管是一种很好的电池材料,但由于其光学带隙为1.7eV, 使得材料本身对太阳辐射光谱的长波区域不敏感,这样一来就限制了非晶硅太阳能电池的转换效率。此外,其光电效率会随着光照时间的延续而衰减,即所谓的光致衰退S一W效应,使得电池性能不稳定。解决这些问题的这径就是制备叠层太阳能电池,叠层太阳能电池是由在制备的p、i、n层单结太阳能电池上再沉积一个或多个P-i-n子电池制得的。叠层太阳能电池提高转换效率、解决单结电池不稳定性的关键问题在于:①它把不同禁带宽度的材科组台在一起,提高了光谱的响应范围;②顶电池的i层较薄,光照产生的电场强度变化不大,保证i层中的光生载流子抽出;③底电池产生的载流子约为单电池的一半,光致衰退效应减小;④叠层太阳能电池各子电池是串联在一起的。 多晶硅

(1)晶硅产能逐步释放,供给短缺现象明显缓解.得益于全球光伏产业的井喷式发展,旺盛的市场需求极大地推动了我国多晶硅产业的发展.随着全球多晶硅产能的逐步释放,多晶硅短缺现象将得到有效缓解.

(2)多晶硅产能利用率偏低,进口规模依然偏高.多晶硅价格走高,催生了国内多晶硅的投资热.由于工艺尚不成熟,达产进度缓慢,产能利用率偏低,远低于80%的国际水平.尤其应进一步提高多晶硅质量,以满足半导体及高效太阳能单 晶制备需求. 单晶硅

(1)微型化.半导体技术的发展,对硅片的尺寸规格和质量提出更高的要求,适合微细加工的大直径硅片在市场中的需求比例将日益加大.

(2)国际化、集团化、集约化.研发及建厂成本的日渐增高,加之现有营销与品牌的优势,使硅材料产业形成“大者恒大”的局面,少数集约化的大型集团垄断材料市场.

(3)发展硅基材料.随着光电子和通信产业的发展,硅基材料成为硅材料工业发展的重要方向.主要的硅基材料包括SOI(绝缘体上硅)、应变硅、GeSi.S01技术已开始在世界广泛应用,SOI材料在整个半导体材料市场中的比例增大. (4)硅片制造技术进一步升级.世界普遍采用先进的切、磨、抛和洁净封装工艺,硅片制造技术取得明显进展;最新尖端技术的导入,SOI等高功能晶片的试制开发进入批量生产阶段;硅片生产企业增加300 mm硅片设备的投资;针对设计规则的进一步细化,开发高平坦度的硅片和无缺陷的硅片.

[1]朱黎辉 中国半导体硅(多晶、单晶)材料发展60年[期刊论文]-新材料产业 2009(12)

[2]靳晓宇 半导体材料的应用与发展研究[期刊论文]-大众商务(投资版) 2009(6) [3]陈兴章.CHEN Xing-zhang 国内外硅半导体材料产业现状及发展[期刊论文]-上海有色金属 2013(3) [4]刘秋娣;林安中;林喜斌 多晶硅锭的制备及其形貌组织的研究[期刊论文]-稀有金属 2002,26(6)

[5]BUONASSISI T;ISTRATOV A A;PICKETT M D Transition metals in photovoltaic-grade ingot-cast multicrystalline silicon:Aeing the role of impurities in silicon nitride crucible lining material[外文期刊]2006(02)

[6]张旭鹏.杨胜文.张金玲非晶硅薄膜电池应用及前景分析[期刊论文]-光源与照明 2010(1)

[7]MOLLERT H J。FUNKE C。RINIO M。et a1.Muhicrystalline silicon for solar cells[J].Thin Solid Films,2005,487(1-2):17一 187.

[8]刘立新.罗平.李春.林海.张学建.张莹.LIU Lixin.LUO Ping.LI Chun.LIN Hai.ZHANG Xuejian.ZHANG Ying 单晶硅生长原理及工艺[期刊论文]-长春理工大学学报(自然科学版) 2009(4)

[9]黄锋.陈瑞润.郭景杰.丁宏升.毕维生.傅恒志.Huang Feng.Chen Ruirun.Guo Jingjie.Ding Hongsheng.Bi Weisheng.Fu Hengzhi 太阳能电池用硅材料的研究现状与发展趋势[期刊论文]-特种铸造及有色合金 2008(12) [10]赵美红 硅纳米材料的制备及其光学特性研究[学位论文]硕士 2007 [11].SEUNG Y M;KOBSAK S;YASUTOSHI Y Silicon-based thin-film solar cells fabricated near the phase boundary by VHF PECVD technique 2008(06)

推荐第10篇:半导体工艺实习个人总结

半导体工艺实习个人总结

几天的工艺实习已经结束了。我想,作为一个独立的一员,我们要学会的远不是对仪器的操作,而是对工艺理论更加深入地了解。虽然无法实质性地看到书本上所向我们展示的神奇的微观世界,但是对这个领域近距离的接触依然足以让我们更好地理解一步步工艺流程、注意事项及其原因,印象也很深刻。而作为一个合作组中一个不可或缺的工作环节的操作人员,已是大三的我们并不存在合作的问题,然而通过如此明确的分工、依靠集体的力量去获得一个成品,却是从未有过的经历,这要我们一步步的衔接,一点点的前进。

我在这次工艺实习过程中担任蒸发这一工作环节。其实从很大程度上来说,这个环节的任务并不繁重,只需要做一次,不必像做清晰、光刻、扩散的同学一样来回做几次。尽管对其他工艺有所了解,但对于我来说,没有实际操作可能没有别的同学体会深刻。带我做蒸发实习是一位研三的学长,做的时候温度、指示计及操作步骤都是完全设计好的,我想这应该是通过数次实验得出的一个最佳指标。在蒸发初时漫长的升温等待中,学长又和我讲了一些后续操作的相关注意事项(比如控制铝丝融化的时间,钨丝上不再有熔融态的“铝球”再进行下一步操作等),包括学长以前和老师关于仪器原理的讨论,都对我有很大的帮助。

蒸完后,我当时觉得效果还是很不错的。后来从光刻的同学那里知道,铝蒸厚了,腐蚀了很久也没有刻出来。其实我觉得我还是很认真的按照要求去做的,造成铝蒸厚的原因我个人分析有两个,一个是我在数铝丝融化控制的秒数时可能数的慢了些,另一个可能是等待铝丝完全汽化的时间过长。这两者都会造成铝原子在片子表面过度堆积。

总之,这三天的工艺实习已经过去了,我们学到了很多,体会到了很多。虽然最后的结果不是那么理想(没有出三极管的特性),但对于我们来说,收获的最重要的是过程。一个好的成品永远是建立在完善的工艺流程上的。

09023213王莹2011.11.08

第11篇:半导体论文

半导体器件论文试题(任选5题)

《1月7号前交给班长,要求手写》

1、阐述本征半导体、P型半导体、N型半导体的概念并给出其能带图。

2、论述PN结的构成及其能带图的成因。

3、论述PN结单向导电的成因。

4、论述PN结被击穿的机理。

5、论述PN结电容的分类及内在机制。

6、以NPN型晶体管为例论晶体管的基本结构及其放大原理。

7、以NPN型晶体管为例论述晶体管反向电流的种类及其成因。

8、论述P-N结模型伏安特性偏离理想方程的原因。

9、推导证明本征半导体的费米能级Ei基本在禁带中间。

10、论述MIS结构表面积累、表面耗尽、表面反型的形成机理。

第12篇:半导体问答

影响工厂成本的主要因素有哪些?

答:Direct Material 直接材料,例如:蕊片

Indirect Material间接材料,例如气体… Labor人力

Fixed Manufacturing机器折旧,维修,研究费用……等

Production Support其它相关单位所花费的费用

在FAB内,间接物料指哪些?

答:Gas 气体 Chemical 酸,碱化学液 PHOTO Chemical 光阻,显影液 Slurry 研磨液 Target 靶材 Quartz 石英材料 Pad & Disk 研磨垫 Container 晶舟盒(用来放蕊片) Control Wafer 控片 Test Wafer测试,实验用的蕊片

什幺是变动成本(Variable Cost)?

答:成本随生产量之增减而增减.例如:直接材料,间接材料

什幺是固定成本(Fixed Cost)? 答:此种成本与产量无关,而与每一期间保持一固定数额.例如:设备租金,房屋折旧及檵器折旧

Yield(良率)会影响成本吗?如何影响?

答:Fab yield= 若无报废产生,投入完全等于产出,则成本耗费最小

CP Yield:CP Yield 指测试一片芯片上所得到的有效的IC数目。当产出芯片上的有效IC数目越多,即表示用相同制造时间所得到的效益愈大.

生产周期(Cycle Time)对成本(Cost)的影响是什幺?

答:生产周期愈短,则工厂制造成本愈低。正面效益如下: (1) 积存在生产线上的在制品愈少 (2) 生产材料积存愈少 (3) 节省管理成本 (4) 产品交期短,赢得客户信赖,建立公司信誉

FAC

根据工艺需求排气分几个系统? 答:分为一般排气(General)、酸性排气(Scrubbers)、碱性排气(Ammonia)和有机排气(Solvent) 四个系统。

高架地板分有孔和无孔作用?

答:使循环空气能流通 ,不起尘,保证洁净房内的洁净度; 防静电;便于HOOK-UP。

离子发射系统作用

答:离子发射系统,防止静电

SMIC洁净等级区域划分

答:Mask Shop cla 1 & 100

Fab1 & Fab2 Photo and proce area: Cla 100

Cu-line Al-Line OS1 L3 OS1 L4 testing Cla 1000 什幺是制程工艺真空系统(PV)

答:是提供厂区无尘室生产及测试机台在制造过程中所需的工艺真空;如真空吸笔、光阻液涂布、吸芯片用真空源等。该系统提供一定的真空压力(真空度大于 80 kpa)和流量,每天24小时运行

什幺是MAU(Make Up Air Unit),新风空调机组作用

答:提供洁净室所需之新风,对新风湿度,温度,及洁净度进行控制,维持洁净室正压和湿度要求。

House Vacuum System 作用

答:HV(House Vacuum)系统提供洁净室制程区及回风区清洁吸取微尘粒子之真空源,其真空度较低。使用方法为利用软管连接事先已安装在高架地板下或柱子内的真空吸孔,打开运转电源。此系统之运用可减低清洁时的污染。

Filter Fan Unit System(FFU)作用

答:FFU系统保证洁净室内一定的风速和洁净度,由Fan和Filter(ULPA)组成。

什幺是Clean Room 洁净室系统

答:洁净室系统供应给制程及机台设备所需之洁净度、温度、湿度、正压、气流条件等环境要求。

Clean room spec:标准

答:Temperature 23 °C ± 1°C(Photo:23 °C ± 0.5°C) Humidity 45%± 5%(Photo:45%± 3% )

Cla 100

Overpreure +15pa

Air velocity 0.4m/s ± 0.08m/s

Fab 内的safety shower的日常维护及使用监督由谁来负责

答:Fab 内的 Area Owner(若出现无水或大量漏水等可请厂务水课(19105)协助)

工程师在正常跑货用纯水做rinse或做机台维护时,要注意不能有酸或有机溶剂(如IPA等)进入纯水回收系统中,这是因为:

答:酸会导致conductivity(导电率)升高,有机溶剂会导致TOC升高。两者均会影响并降低纯水回收率。

若在Fab 内发现地面有水滴或残留水等,应如何处理或通报

答:先检查是否为机台漏水或做PM所致,若为厂务系统则通知厂务中控室(12222)

机台若因做PM或其它异常,而要大量排放废溶剂或废酸等应首先如何通报

答:通知厂务主系统水课的值班(19105)

废水排放管路中酸碱废水/浓硫酸/废溶剂等使用何种材质的管路?

答:酸碱废水/高密度聚乙烯(HDPE)浓硫酸/钢管内衬铁福龙(CS-PTFE)废溶剂/不琇钢管(SUS)

若机台内的drain管有接错或排放成分分类有误,将会导致后端的主系统出现什幺问题? 答:将会导致后端处理的主系统相关指标处理不合格,从而可能导致公司排放口超标排放的事故。

公司做水回收的意义如何?

答:(1) 节约用水,降低成本。重在环保。 (2) 符合ISO可持续发展的精神和公司环境保护暨安全卫生政策。

何种气体归类为特气(Specialty Gas)? 答:SiH2Cl2

何种气体由VMB Stick点供到机台? 答:H2

何种气体有自燃性? 答:SiH4

何种气体具有腐蚀性? 答:ClF3

当机台用到何种气体时,须安装气体侦测器? 答:PH3

名词解释 GC, VMB, VMP

答:GC- Gas Cabinet 气瓶柜VMB- Valve Manifold Box 阀箱,适用于危险性气体。VMP- Valve Manifold Panel 阀件盘面,适用于惰性气体。

标准大气环境中氧气浓度为多少?工作环静氧气浓度低于多少时人体会感觉不适?

答:21%

19%

什幺是气体的 LEL? H2的LEL 为多少?

答:LEL- Low Explosive Level 气体爆炸下限H2 LEL- 4%.

当FAB内气体发生泄漏二级警报(既Leak HiHi),气体警报灯(LAU)会如何动作?FAB内工作人员应如何应变?

答:LAU红、黄灯闪烁、蜂鸣器叫听从ERC广播命令,立刻疏散。

化学供应系统中的化学物质特性为何?

答:(1) Acid/Caustic 酸性/腐蚀性(2) Solvent有机溶剂(3) Slurry研磨液

有机溶剂柜的安用保护装置为何?

答:(1) Gas/Temp.detector;气体/温度侦测器(2) CO2 extinguisher;二氧化碳灭火器

中芯有那几类研磨液(slurry)系统?

答:(1) Oxide (SiO2) (2) Tungsten (W)鵭

设备机台总电源是几伏特? 答:208V OR 380V

欲从事生产/测试/维护时,如无法就近取得电源供给,可以无限制使用延长线吗? 答:不可以

如何选用电器器材?

答:使用电器器材需采用通过认证之正规品牌

机台开关可以任意分/合吗?

答:未经确认不可随意分/合任何机台开关,以免造成生产损失及人员伤害.

欲从事生产/测试/维护时,如无法就近取得电源供给,也不能无限制使用延长线,对吗? 答:对

假设断路器启断容量为16安培导线线径2.5mm2,电源供应电压单相220伏特,若使用单相5000W电器设备会产生何种情况? 答:断路器跳闸

当供电局供电中断时,人员仍可安心待在FAB中吗?

答:当供电局供电中断时,本厂因有紧急发电机设备,配合各相关监视系统,仍然能保持FAB之Safety,所以人员仍可安心待在FAB中.

MFG

什幺是WPH?

答:WPH(wafer per hour) 机台每小时之芯片产出量

如何衡量 WPH ?

答:WPH 值愈大,表示其机台每小时之芯片产出量高,速度快

什幺是 Move?

答:芯片的制程步骤移动数量. 什幺是 Stage Move?

答:一片芯片完成一个Stage之制程,称为一个Stage Move 什幺是Step Move?

答:一片芯片完成一个Step 之制程, 称为一个Step Move. Stage 和 step 的关系?

答:同一制程目的的step合起来称为一个stage; 例如炉管制程长oxide的stage, 通常要经过清洗,进炉管,出炉管量测厚度3道step AMHS名词解释? 答:Automation Material Handling System; 生产线大部份的lot是透过此种自动传输系统来运送

SMIF名词解释?

答:Standard Mechanic InterFace (确保芯片在操作过程中; 不会曝露在无尘室的大环境中;所需的界面) 所需使用的器具有FOUP/Loadport/Mini-environment等; 为什幺SMIF可以节省厂务的成本? 答:只需将这些wafer run货过程中会停留的小区域控制在cla 1 下即可,而其它大环境洁净度只要维持在cla 100 或较低的等级);在此种界面下可简称为"包货包机台不包人";对于维持洁净度的成本是较低的;操作人员穿的无尘衣可以较高透气性为优先考量,舒适性较佳

为什幺SMIF可以提高产品的良率?

答:因为无尘室中的微尘不易进入wafer的制程环境中

Non-SMIF名词解释

答:non-Standard mechanic InterFace;芯片在操作的过程中会裸露在无尘室的大环境中,所以整个无尘室洁净度要维持在cla1的等级;所以厂务的成本较高且操作人员的无尘衣要以过滤性为优先考量,因此是较不舒适的

SMIF FOUP名词解释?

答:符合SMIF标准之WAFER container,Front Opening Unit FOUP MES名词解释?

答:Manfaucture Execution System; 即制造执行系统; 该系统掌握生产有关的信息,简述几项重点如下(1) 每一类产品的生产step内容/规格/限制(2) 生产线上所有机台的可使用状况;如可run那些程序,实时的机台状态(可用/不可用)(3) 每一产品批的基本资料与制造过程中的所有数据(在那些机台上run过/量测结果值/各step的时间点/谁处理过/过程有否工程问题批注…等(4) 每一产品批现在与未来要执行的step等资料

EAP名词解释?

答:(1) Equpiment Automation Program;机台自动化程序;(2) 一旦机台有了EAP,此系统即会依据LOT ID来和MES与机台做沟通反馈及检查, 完成机台进货生产与出货的动作;另外大部份量测机台亦可做到自动收集量测资料与反馈至后端计算机的自动化作业

EAP的好处

答:(1) 减少人为误操作 (2) 改善生产作业的生产力 (3) 改善产品的良率

为什幺EAP可以减少人为操作的错误

答:(1) 避免机台RUN错货 (2) 避免RUN错机台程序

为什幺EAP可以改善机台的生产力?

答:(1) 機台可以自動Download程式不需人為操作 (2) 系統可以自動出入帳,減少人為作帳錯誤 (3) 系統可以自動收集資料減少人為輸入錯誤

为什幺EAP可以改善产品的良率?

答:(1) 在Phot/etch/CMP区中,可自动微调制程参数 (2) 当机台alarm时,可以自动hold 住货 (3) 当lot内片数与MES系统内的片数帐不符合时,可自动hold 住货

GUI名词解释? 答:Graphical User Interface of MES;将MES中各项功能以图形界面的呈现方式使得user可以方便执行

EUI名词解释?功能是什麼? 答:EAP User Interface; 机台自动化程序的使用者界面,透過EUI可以看到機台目前的狀態及貨在機台內的情形

SORTER 分片机的功能?

答:可对晶舟内的wafer(1) 进行读刻号(2) 可将wafer的定位点(notch/flat)调整到晶舟槽位(slot)的指定方位(3) 依wafer号码重新排列在晶舟内相对应的槽位号码上(4) 执行不同晶舟内wafer的合并(5) 将晶舟内的wafer分批至多个晶舟内

OHS名词解释?

答:Over Head Shuttle of AMHS (在AMHS轨道上传送FOUP的小车) FAB内的主要生产区域有那些?(有7个)

答:黄光, 蚀刻, 离子植入, 化学气象沉积, 金属溅镀, 扩散, 化学机械研磨

Wafer Scrap规定?

答:Wafer由工程部人员判定机台、制程、制造问题,已无法或无必要再进行后续制程时,则于当站予以報廢缴库,Wafer Scrap时请填写“Wafer Scrap处理单” Wafer经由工程部人员判定机台、制程、制造问题已无法或无必要再进行后续制程时应采取何种措施?

答:SCRAP(报废,定义请参照Wafer Scrap规定)

TERMINATE规定?

答:工程试验产品已完成试验或已无法或无必要再进行后续制程时,则需终止试验产品此时就需将产品终止制程,称之为TERMINATE

WAFER经由客户通知不需再进行后续制程时应采取何种措施? 答:TERMINATE

FAB疏散演练规定一年需执行几次?

答:为确保FAB内所有工作人员了解并熟悉逃生路径及方式,MFG将不定期举行疏散演练。演习次数之要求为每班每半年一次。

何时应该填机台留言单及生产管理留言单?

答:机台留言单:机台有部分异常需暂时停止部分程序待澄清而要通知线上人员时生产留言单:有特殊规定需提醒线上人员注意时

填写完成的机台临时留言单应置放于那里?

答:使用机台临时留言单应将留言单置放于LOGSHEET或粘贴于机台上

机台临时留言单过期后应如何处理?

答:机台临时留言单過期后应由MFG On-line人員清除回收, 讯息若需长期保存则请改用生产管理留言单。

生产管理留言单的有效期限是多久? 答:三个月

何时该填写芯片留言单?

答:芯片有问题时或是芯片有特殊交待事项需让线上人员知道则可使用芯片留言单

芯片留言单的有效期限是多久? 答:三个月

填写完成的芯片留言单应置放于何处? 答:FOUP 上之套子内 芯片留言单需何人签名后才可生效? 答:MFG 的 Line Leader或Supervisor 何谓Hold Lot? 答:芯片需要停下来做实验或产品有问题需工程师判断时的短暂停止则需HOLD LOT;帐点上的状态为Hold,如此除非解決hold住的原因否则无法继续run货

PN(Production Note,制造通报)的目的?

答:(1) 为公布FAB内生产管理的条例。(2) 阐述不清楚和不完善的操作规则。

PN的范围?

答:(1) 强调O.I.或TECN之规定, 未改变(2) 更新制造通报内容(3) 请生产线协助搜集数据(4) O.I.未规定或未限制, 且不改变RECIPE、SPEC及操作程序

何谓MONITOR?

答:对机台进行定期的检测或是随产品出机台时的检测称之为MONITOR,如测微粒子、厚度等

机台的MONITOR项目暂时变更时要填何种文件?

答:Tempory Engineering Change Notice (TECN,暂时工程变更)

暂时性的MONITOR频率增加时可用何种表格发布至线上? 答:Production Note(PN,制造通知)

新机台RELEASE但是OI尚未生效时应填具何种表格发布线上? 答:Tempory Engineering Change Notice (TECN,暂时工程变更)

控片的目的是什幺?(Control wafer) 答:为了解机台未来的run货结果是否在规格内,必须使用控片去试run,并量测所得结果如厚度,平坦度,微粒数…控片使用一次就要进入回收流程。

挡片(Dummy wafer)的目的是什幺?

答:用途有2种:(1) 暖机 (2) 补足机台内应摆芯片而未摆的空位置。挡片可重复使用到限定的时间﹝RUN数、厚度…﹞后,再送去回收.例如可以同时run150片wafer的炉管,若不足150片时必须以挡片补足,否则可能影响制程平坦度等…; High current 机台每次可同时run17片,若不足亦须以挡片补足挡片的

Raw wafer(原物料wafer)有不同的阻值范围吗?

答:是的;阻值范围愈紧的,成本愈贵;例如8~12欧姆用于当产品的原物料,0~100的可能只能用当监控机台微尘的控片

機台狀態的作用?

答:為能清楚地評量機台效率,並告訴線上人員機台當時的狀況

機台狀態可分為那兩大類? 答:(1) UP(2) Down

机台状态定义为availabe可用的状态有那些?

答:RUN : 机台正常,正在使用中BKUP : 机台正常,帮其它厂RUN货IDLE : 机台正常,待料或缺人手TEST : 机台正常,借工程师做工程实验或调整RECIPETEST_CW : 机台正常,正在RUN 控檔片

机台状态定义为SCHEDULE NON-AVAILABLE的有那些? 答:MON_R : 机台正常,依据OI规定进行检查,如每shift/daily/monthlyMON_PM : 机台正常,机台定期维护后的检查PM : OI规定之例行维修时机及项目;如汽车5000KM保养HOLD_ENG : 机台正常,制程工程师澄清与确认产品异常原因,停止机台RUN LOT

在机台当机处理完后;交回制造部时应挂何种STATUS? 答:WAIT_MFG

在工程师借机检查机台调整RECIPE时应挂何种STATUS? 答:TEST

若是机台MONITOR异常工程师借机检查机台时应挂何种STATUS? 答:DOWN

线上发现机台异常时通知工程师时应挂何种STATUS? 答:WAIT_ENG

线上在要将机台交给工程师做PM前等待工程师的时间应挂何种STATUS? 答:WAIT_ENG

工程在将机台修复后交给制造部等制造部处的这段时间应挂何种STATUS? 答:WAIT_MFG

年度维修时应挂何种STATUS? 答:OFF

Muti-Chamber的机台有一个Chamber异常时制造部因为派工ISSUE无法交出Chamber该挂何种STATUS? 答:HOLD_MFG

制程工程师澄清或确认产品异常原因停止机台RUN货时应挂何种STATUS? 答:HOLD_ENG

因工程部ISSUE而成机台不能正常RUN货时应挂何种STATUS? 答:HOLD_ENG

MES或电脑等自动化系统相关问题造成死机要挂何种STATUS? 答:CIM

因为厂务水电气的问题而造成机台死机的问题要挂何种STATUS? 答:FAC

生產線因電力壓降、不穩定造成生產中斷時,機台狀態應掛為? 答:FAC

生產線因MES中斷或EAP連線中斷而造成生產停止,此時機台將態為何? 答:CIM

机台状态EQ status定义的真正用意何在?

答:(1) 机台非常贵重,所以必须知道时间都用到何处了,最好是24小时都用来生产卖钱的产品;能清楚知道时间用到何处,就能进行改善(2) 责任区分,各个状态都有不同的责任单位,如制造部/设备工程师/制程工程师…等

什幺是 T/R?

答:Turn Ratio, 芯片之移动速度; 即1天内移动了几个制程stage 如何衡量 T/R ?

答:一片芯片在1天内完成一个Stage Move,其 T/R值为 1.T/R 值愈大,表示其移动速度愈快,意谓能愈快完成所有制程. 什幺是 EAR ?

答:Engineer Abnormal Report(工程异常报告);通常发生系统性工程问题或大量的报废时,必须iue EAR.异常事件是否iue EAR 主要依据EAR OI 定义

EAR 之目的为何 ?

答:在于记录Wafer生产过程中异常现象的发生与解决对策,及探讨异常事件的真正原因进而建立有效的预防及防止再发措施,以确保生产线之生产品质能持续改善 什幺是 MO ?

答:MO (Mis-Operation)指未依工作准则之作业,而造成的生產損失. MO 有何之可能影响?

答:(1) 产品制程重做(REWORK)。(2) 产品报废。(3) 客户要求退还产品,并要求赔偿.

如何防止 MO 之产生 ? 答:依工作准则作业. 什幺是 Waferout ?

答:完成所有制程后并可当成产品卖出之芯片. 什幺是 clean room (洁净室)?

答:指空气中浮尘被隔离之操作空间

为何要有 clean room ?

答:避免空气中的微浮尘掉入产品,进而破坏产品的品质

clean room 有何等级 ?

答:cla 1, cal 10, cla 100, cla 1000, cla 10000,…等级愈高(cla 1) 则表示要求环境之洁净度就愈高.如医院开刀房之环境为 cla 1000. FOUP回收清洗流程?

答:(1) 线上各大区将所使用过的FOUP送回Wafer Start 清洗。(2) 下线MA将回收待清洗的FOUP.底盘逐一拆下。(3) Caette 须量测有无问题.(全新的也须量测) 。(4) 拆下的Door& 底盘须用IPA擦拭干净。(5) 拆下FOUP 放置Cleaner清洗。

FOUP回收清洗时间?

答:回收清洗时间为每三个月一次.然而RF ID 在每次清洗完Iue时会同时将下一次清洗的时间Updata上。

FOUP各部门领用流程? 答:各部门的领用人至W/S领取物品时,须填写”FOUP & 塑料封套 领料记录表”填上领取的件数以及部门.名字.工号即可

FAB 制造通报(Production Notice)responsibility?

答:(1) 制造部负责通报的管理与执行,Fab相关部门因工程与生产需要可制作制造通报经单位主管及制造部同意后进线执行。(2) 制造通报涉及工程限制(Constrain)时需由工程部门负责工程师在MES上设定/修改完成后交由制造部审核确认及生效后,此通报才能进线执行。

FAB 制造通报(Production Notice)规定和禁令?

答:(1) 通报被取消则此通报将视为无效.(2) 通报内容新旧版本相冲突时以新版本为主,initiator 需告知前份作废PN ,以便MA立取出(3) 通报最长期限为一个月.如果通报想延长期限,必须重新提出申请与签核,但以一次为限.(4) 至截止期后通报将自动失效.

FAB 制造通报(Production Notice)管理?

答:(1) 如果此通报由制造部主管直接公布,签署过程即省略(2) 通报内容应尽量言简意赅,避免繁琐冗长的陈述 (3) 制造部各区文件管理人负责将取消或无效之生产通告传回Key-in Center 以避免被错误使用(4) 通报应盖上Key-in center 有效公章.

WHAT’S "Bank In"? 答:各部门依据规定执行Hold 货或设Future Hold,并下Bank In之制式Comment后,货到站后由当区MA/LL负责于MES作帐,Wafer存入Stocker。

WHAT’S "Bank Out"?

答:各部门于Hold Comment下Bank Out之制式Comment并通知当区主管,于MFG确认Hold Comment无误后,于MES作帐,Wafer依Comment处理。

WHAT’S ’Bank Period"?

答:每批存入Bank的Lot自Bank In起,至Bank out止,累积之时间

Bank 适用时机?

答:(1) 客户通知暂停流程/放行之Wafer。(2) 新制程开发,于重点层次预留/放行之Wafer。(3) 经WAT检查后,有问题之Wafer。(4) 经QE检查后,有问题之Wafer。(5) FAB预先生产,且需暂存之Wafer。(6) 特殊原因且经MFG P&Q Section Manager同意之Wafer Bank Quota?limit?

答:(1) 各部门申请的Bank有一定数量限制,依制造部与各部门讨论而定(2) PC部门则由PC与客户协议,依PC相关规定处理

Bank period规定?

答:(1) PC要求之Bank最长可存放六个月;但若Customer有特殊需求,且经PC与MFG P&Q Manager同意者,则不在此限。(2) Lot Type 为L/T/LF/C/D/Z/V者,存放期限为60天。(3) Lot Type 为P/R/M/E1~9/B者,若非PC所要求,则存放期限为7天且申请时需PC 同意。

FAB內空的FOUP應存放在那些指定位置上?

答:(1) 放在指定的暂存货架上。(2) 放在机台旁的待Run Wip货架上(3) Stocker內

为什幺FOUP 放在STOCK 入口而长时间不进去?

答:Stocker 已满,或不能读取RF ID。

为什幺FOUP会被送至WaferStart出口?

答:RF ID上的FOUP Clean Time 过期,或格式不正确。

何谓Bullet lot?

答:(1) 就是优先权最高的lot (priority 1); (2) lot本身带有特别重要的目的;如客户大量投产前的试run产品,工程部特别重要的实验货,与其它重要目的. Bullet Lot Management Rule?

答:(1) Priority 皆为1(2) 面交下一站,不得用AMHS System传送。(3) 需提前通知下一站备妥机台。(4) 有工程问题工程部必须优先解决此种lot 列出所有的Lot Priority,并说明其代表的含义

答:Priority 等级从1~5 优先权以1最大5最小Priority 1 :bullet lot(字义"子弹般快的lot";此lot拥有特殊目的如重要实验,客户大量投片前试run货等..)priority 2 : hot lot (依MFG/MPC 定义而定;通常为试run货pilot lot, 验证光罩设计的实验lot..等)priority 3 : delay lot(需要加把劲否则无法准时交给客户的lot)priority 4 : normal lot(按预定进度进行的lot)priority 5 : control wafer(生产线上的控片面) 将Lot 分pirority 优先权的生产管理意义? 答:生产线上众多的lot(可能有2000以上),各有不同的交期与目的,透过操控每批LOT的优先权数字设定来让所有MA知道产品安排的优先级

什幺是RF ID?

答:用来记录FOUP ID與MES對應的芯片ID、刻號、机台的EAP亦是透过RF ID 来和MES沟通了解当站该RUN那一种程序

什幺是stocker?

答:生产线上用来存放FOUP容器的仓储(FOUP有装载芯片和光罩两种)

为什幺FOUP 放在stocker 入口而长时间不进去?

答:(1) Stocker已滿(2) 不能讀取RF ID 什幺FOUP 会被自动传输系统HOLD?

答:有同名的Lot.可根据Hold Reason 找出两个同名Lot 的位置。

当GUI显示说Mapping的片数和MES上的片数不匹配时如何处理?

答:请检查MES上LOT的片数和机台内Mapping出来的片数,若两者不同,请找PE/EE解决;若两者相同,请CALL EAP ENGINEER。

Proce完成后GUI显示实际RUN的片数和MES上的数量不匹配时如何处理?

答:请检查MES上LOT的片数和机台内Proce完成的片数,若两者不同,请找PE/EE解决;若两者相同,请CALL EAP ENGINEER

GUI显示“FOUP due day is expired”或“FOUP clean due day is empty“时如何处理?

答:检查SmartRF ID中清洗FOUP的时间是否已经过期或时间是空值:若已过期,请换一个FOUP。若是空值,请先做IueRF ID,

何谓Bank Lot?

答:若芯片有客户要求需要长时间的停止时则需使用BANK LOT;即帐点上的状态为BANK;除非客户再次通知后解除,否则无法往下RUN货

何谓future hold?

答:MES 上的一个功能; 对于未来制程中的某一歩骤,若需要停下来执行实验或检查..等目的时,可预先提早下future hold

生产线那些地方,可以感测FOUP上的RF ID并回传此FOUP的位置? 答:Stocker 与机台

HOLD住待处理的问题芯片;必须放在何处? 答:放置在指定之HOLD LOT货架上

工程师使用的芯片、控挡片;必须放在何处? 答:放置在工程师芯片专用货架上

待run产品 ,必须放在何处?

答:放入STOCKER内或放置在机台旁之货架(推车上)

Fab通常如何定义产品的复杂度?

答:必须经过几道photo layer,有几层poly, 有几层metal越多层越复杂

假设一种产品的制程共有20次photo layer,103个stage 的产品,从投片到出货的周期时间(cycle time)为22天;试问此LOT 的平均T/R是多少? 答:103 stage/22天=4.7

假设一种产品的制程共有20次photo layer,103个stage 的产品,从投片到出货的周期时间(cycle time)为22天;试问平均C/T per layer (每一photo layer的cycle time)是多少?

答:22天/20=1.1

Signal Tower 的功能为何?

答:用以提醒操作者,机台的实时状况,实时处理,增加机台的使用率 Signal Tower有那几种灯号颜色? 答:红/黄/绿三种颜色

Signal Tower的红灯亮(ON)起来时,代表何意义? 答:机台的主要功能当掉讯息出现时

Signal Tower的红灯闪烁(flash)时,代表何意义? 答:机台有任何Alarm的讯息出现时

Signal Tower的绿灯亮(ON)起来时,代表何意义? 答:机台是在run货状态;且所有进货端都摆满了货

Signal Tower的绿灯闪烁(flash)时,代表何意义?

答:机台是在run货状态;但有某一个以上的进货端有空档,用以提醒操作人员进货(MIR; move in request)

Signal Tower的黄灯闪烁(flash)时,代表何意义?

答:机台是在可使用状态;但有某一个以上的出货端有货run完,等着出货,用以提醒操作人员把货拿走(MOR; move Out request) 光罩产品有哪两种材料组成?

答:(1) BLANK;玻璃主体;使得光容易透过 (2) PELLICLE;一种高分子材料,用来保护玻璃上的电路图,避免particle影响

简单分类光罩可分为哪两种?

答:Binary光罩(一般光罩) & PSM光罩(相位移光罩); PSM光罩一般用于窄线宽或某几个最重要的PHOTO 层如Poly/Contact/Metal 1 photo layer 现行工厂内有哪两种PELLICLE(光罩的鉻膜)?

答:I-line (365光源用) DUV(248光源用)

I-line pellicle的光罩可否用于DUV的曝光机?

答:不能;因为DUV光源的能量Energy较强,会将pellicle 烧焦

DUV pellicle的光罩可否用于I-line的曝光机? 答:可以

光罩上PATTERN或玻璃面有刮伤可否修补? 答:不能

PELLICLE毁损能否修补?

答:若没伤到pellicle下的电路图形,可撕除pellicle,重新贴上新的PELLICLE 何谓cycle time,周期时间?

答:wafer 从投片wafer start 到WAT电性测试结束这段生產时间(如早上出门.搭车到达公司所需经过的时间)

cycle time 周期时间是由那些时间所构成

答:(1) Proce time 所有步骤的制程时间总和 (2) waiting time : 所有步骤中所耗费的等待时间,如等人或等机台有空 (3) hold time:所有步骤因为异常等原因,被扣留下来检查的时间

如何降低cycle time 周期时间?

答:cycle time是proce time(机台run货时间),waiting time(等候时间), hold time(等待澄清问题时间);所以任何有助于降低三者的活动皆有帮助

如何减少proce time 总和? 答:(1) 由制程整合工程师检讨流程中是否有步骤可以去除不做;如一些检查站点或清洗站点等(2) 由工程部制程工程师研究改善缩短每一步骤的制程时间(需经过实验测试是否影响品质,此项达成度较难) 如何减少waiting time总和?

答:waiting time 是因为少人少机台所造成;所以有下列几种方法(1) 加人买机台(此方法必须说服老板人和机台都已充份利用最大化了)(2) 改善人的能力;如每一MA有多种操作技能,加强派货能力等(3) 改善机台的能力;如增加WPH每小时的产出量,设备工程师将机台维持在高的UP time等(4) 检讨减少生产线上的wafer 数目;检讨是否有太早下线的wafer或不必要的实验货,过多少片数的LOT(例如透过公运输或多人共乘减少)路上的车辆

如何减少hold time 总和? 答:hold time 来自制程不稳定与机台不稳定和实验测试所致;与发生hold time后的后续处理时间;所以必须针对这几项来着手

如何简单地评定一个代工厂的能力?

答:(1) 良率维持在稳定的高点(2) 周期时间cycle tiem愈短愈好(3) 製造成本愈低愈好

工厂准时交货率(On-Time Delivery Order)

答:值越高表示工厂准时交货的能力越好,对于客户的服务也越佳

工厂产量完成率(On-Time Delivery for Volume) 答:衡量工厂满足客户需求的能力是否良好,但并不评估是否按照预定日程交货,值越高越好

控/挡片使用率(Control/Dummy Usage)

答:平均每生产一片芯片所需使用的控/挡片数量由于控/挡片可以重复使用,因此当生产线系统越稳定,技术员操作越熟练,则控/挡片寿命也越长,生产成本也因而降低。

何谓OI?

答:Operation Instruction操作指导手册;每一型号的机台都有一份OI。OI含括制程参数、机台程序、机器简介、操作步骤与注意事项。其中操作步骤与注意事项是我们该熟记的部分

何谓Discipline

答:简单称之为『纪律』。泛指经由训练与思考,对群体的价值观产生认同而自我约束,使群体能在既定的规范内达成目标,与一般的盲从不同。

如何看制造部的纪律好不好?

答:制造部整体纪律的表现,可以由FAB执行6S够不够彻底和操作错误多寡作为衡量标准!

如何看整个FAB纪律好不好?

答:FAB内整体的纪律表现,可以反应在Yield上。

公司的企业文化为何? 答:重操守(integrity)诚实(honesty)团队合作(team work)注重效能(effectivene)永续经营和不断改进(PDCA——plan/do/check/action)

那些是对外不可说的事?

答:(1) 产品良率(Yield)(2) 订单数量(3) 客户名字(4) 公司组织(5) 主管手机号码(6) 公司人数(7) 其它厂商Vendor的资料(8) 生产线的机台台数及种类。

那些是对外不可做的事? 答:(1) 与Vendor聚餐,需经过部门主管的同意(2) 收佣金,有价证券(3) 收受礼物 (礼物价值>15RMB )(4) 接受招待旅游(5) 出入不正当场所

Fab4的工作精神为何? 答:OwnershipHands OnTeamwork&CooperateCall for helpFollow up;Discipline 何谓Ownership? 答:主人翁精神;对待处理公事如己之私事般完善; 把事情做好而不是把事情做完

何谓Hands On ?

答:亲力亲为;总裁Richard要求所有人尤其是主管必须对自己的业务了若指掌

何谓Call for help ?

答:请求支持; 任务过程中遇困难,必须寻求同事或主管帮忙,否则会误了大事

为什幺沟通时必须使用"精准"的字眼?,避免使用"好象", "可能";"大概" ,"差不多" 等模糊字眼

答:因为团队的其它人必须根据你的话来下决定与做判断,一旦用了模糊字眼,就必须一来一往才能澄清问题,泿费时间,所以不了解的事,就直接回答不清楚

为什幺开会描述问题时,必须先讲结果或别人必须配合的AR (action request),然后再讲问题发生的原因? 答:因为开会时间有限,参与的人太多(如全厂的生产晨会);先讲结果或AR可以让人快速抓住重点,如果时间不足原因可以简略说明即可

为什幺会议中要避免某些人"开小会"(小组自行讨论)的现象? 答:因为你不是主持人,开小会使得议程被打断,讨论主题发散,会议时间冗长,泿费大家时间

为什幺开会,上台进行演示文稿时,要力求大声?

答:因为所有人必须跟据你的说明下判断或决定,而且小声讲也显得自己没有自信

什幺是6S运动?

答:在自己的工作区内彻底执行整理/整顿/清扫/清洁/纪律/安全6项作业准则标准

整理与整顿的意含差异?

答:整理为保管要的东西,丢掉不要的东西,整顿为针对要的东西进行定位/标示/归位的动作

清扫与清洁的意含差异?

答:清除为清除脏乱污垢,清洁为保持整理/整顿/清扫的成果

6S运动推广重点区域?

答:办公区与洁净室是两大重点

为何无尘室中的任何地板开孔都必须以警示围篱区隔?

答:为了安全考量;任何小洞都可能造成人员拌倒,芯片摔破

为何无尘室中的中间走道高架地板上要铺设钢板? 答:为防止move-in 机台所用的拖板车刮伤地板

无尘室中间走道高架地板上的钢板,如何铺设?

答:先铺设塑料垫,再铺设钢板,每一片钢板的接鏠边必须以胶带贴合,避免人员或芯片推车拌倒

无尘室中有那些地板必须以颜色胶带做定位?

答:中间走道,各Bay信道,机台安装前的定位标示,逃生信道,货架定位,零附件暂存区定位

无尘室中的最大发尘源为何? 答:无尘室中走动的人

那些会发尘的物品不得带入无尘室? 答:通常属于天然类的物质都会发尘,如一般纸张,木箱,铅笔,等

无尘室中施工时必须参考的layout 图,如何带入无尘室? 答:请以无尘纸影印人后带入

可在无尘室中做地板切割作业?

答:不行,因为会产生微尘,所以请将地板携出进行作业

可在无尘室中做地板钻孔作业?

答:可以,但钻孔时必须同时以吸尘器清除这些铁屑(必须2人同时作业) 货架不能挡住那些紧急设施?

答:冲身洗眼器,灭火器,机台的紧急按钮(EMO) 手套上写字记事情,为什幺违反6S规定? 答:因为笔墨会到处沾粘;是微尘的来源

口罩必须如何戴才不违反6S规定?

答:完全盖住口鼻;且全程保持标准,不得拉下口罩,露出鼻子

制程或设备工程师review 完问题货,如果不放回定位,hold lot 货架或stocker内会有何影响? 答:制造部MA,将大海捞针式地搜索此LOT,因为只有在Stocker和机台上才能感测RFID,回传该LOT的位置

如何从自身执行公司的机密文件管制?

答:机密文件档案严禁任意放置在档案柜内或桌面上,必须放入有锁的抽里。

办公区域内不可吃饮料类以外的食物属于那一种要求? 答:办公区的工作纪律

办公区域内不得任意喧哗属于那一种要求? 答:办公区的工作纪律

办公区域内严禁打电子游戏属于那一种要求? 答:办公区的工作纪律

有独立办公室的同仁在离开办公室时,必须关上门属于那一种要求? 答:办公区的工作纪律

下班时请将桌面上所有文件清除属于那一种要求? 答:办公区的整理整顿

桌面下方物品堆放整齐,不可有杂物属于那一种要求? 答:办公区的整理整顿

何谓OCAP?

答:Out of Control Action Plan, 即产品制程结果量测值或机台监控monitor量测值,违反统计制程管制规则后的因应对策

制造部人员如何执行品质监控系统OCAP?

答:遇产品或机台monitor量测值OOC或OOS时,必须Follow 相对应的检查流程(有厚度/微尘/CD/Overlay…等OCAP 窗体);并通知工程师检查工程上的问题

工程部人员(制程或设备工程师)如何执行品质监控系统OCAP?

答:制造部MA通知必须Follow的OCAP ; 必须依流程判断LOT或机台有工程间题

什幺是OOS?

答:out of spec;制程结果超出允收规格

什幺是OOC?

答:out of control; 制程结果在允收规格内但是违反统计制程管制规则;用以警讯机台或制程潜在可能的问题

发现Fab内地板有如水的不明液体要如何处理?

答:请先假设它可能为强酸强碱, 以酸碱试纸检测PH值后再以无尘布或吸酸棉吸收后丢入分类垃圾桶中

Fab内的灭火器为那一类? 答:CO2 类

为什幺FAB必须使用CO2类的灭火器? 答:因为CO2无干粉灭火器产尘的顾忌

如果不依垃圾分类原则来丢垃圾会有什幺后果?

答:可能造成无尘室的火灾危机,因为酸碱中和,产生热后可能引起火灾

无尘室的正下方我们称为什幺? 答:sub-fab

Sub-fab的功能主要为何?

答:生产机台所需的供酸供气等需求,主要由此处来供应上来

Fab内的空气和外界进行交换的比例为何? 答:约20%~25%

Fab生产区域内最在意静电(ESD)效应的区域为何? 答:PHOTO 黄光区(低能量静电放电导致光罩的破坏) PHOTO 区如何消除静电效应

答:(1) 机台接地(2) 使用导电或防静电的材质(3) 使用静电消除装置

PHOTO 区的静电消除器安置在那些地方?

答:(1) 天花板(2) 机台scanner上方 (3) Stocker内

静电效应主要造成那些破坏? 答:(1) 使得wafer表面易吸附particle (2) 堆积的静电荷一旦有放电作用,即会因产生的电流造成组件的破坏

何谓冲身洗眼器?/何处可以找到?

答:无尘室中各区域皆会有;是一可紧急使用冲淋身体与眼睛的地方

遇到什幺状况时,需要使用冲身洗眼器

答:当碰到酸碱或任何其它溶剂时,请立即进入冲淋间,以大量清水冲淋15分钟,然后赶急至医护室进行下一步处理

为何要配合海关进行资产盘点?(机台/芯片/原物料)

答:因为进口的大部份资产都有关税优惠;海关为了解企业确实将这些进口的材料加工成品后卖钱;而不是转手卖掉.这一盘点对公司来说是非常重要的

PHOTO区域若发生mi operaton ; 可进行rework将光阻去除后重新来过;所以不用太紧张对吗?

答:错! 重做多次将影响良率

PEL-STEL(short term exposure limit) 短时间(15分钟)时量平均容许浓度

答:劳工在短时间之内可以连续暴露,而不会遭受刺激,慢性或不可逆的组织损害,或在每天之暴露没有超过工作日时量平均容许浓度时不致因昏迷以致于会增加意外事故,损害自我救援能力,或实质地降低工作效率。

PEL-Ceiling最高容许浓度:

答:在工作期间之任何时间暴露,均不可以超过的浓度。

LEL & UEL (Lower(Upper) Explosion Limit)

答:.爆炸下限 & 爆炸上限;可燃性气体分子在空气中混合后的气体百分率,达爆炸范围时,可引起燃烧或爆炸,此爆炸范围的下限及上限称为LEL及UEL例如 SiH4 1.4%-96%

TLV (THRESHOLD LIMIT VALUE ) 国际标准阈限值、恕限量

答:空气中的物质浓度,在此情况下认为大多数人员每天重复暴露,不致有不良效应。在此浓度每天呼吸暴露8小时不致有健康危害。但因每人体质感受性差异很大,因此,有时即使低于TLV之浓度方可能导致某些人之不舒服、生病或使原有情况加剧。

PEL-TWA(time-weighted average) 工作日时量平均容许浓度:各国家对同要物质可能有不同 TWA;例如AsH3 在 USA:20ppb Taiwan:50ppb

答:正常8小时一个工作天,40小时一工作周之时间加权的平均浓度下,大部份的劳工都重复一天又一天的曝露,而无不良的反应。

PHOTO

PHOTO 流程?

答:上光阻→曝光→顯影→顯影後檢查→CD量測→Overlay量測

何为光阻?其功能为何?其分为哪两种?

答:Photoresist(光阻).是一种感光的物质,其作用是将Pattern从光罩(Reticle)上传递到Wafer上的一种介质。其分为正光阻和负光阻。

何为正光阻?

答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。

何为负光阻?

答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感光的部分则被显影过程去除。

什幺是曝光?什幺是显影?

答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。

何谓 Photo?

答:Photo=Photolithgraphy,光刻,将图形从光罩上成象到光阻上的过程。

Photo主要流程为何?

答:Photo的流程分为前处理,上光阻,Soft Bake, 曝光,PEB,显影,Hard Bake等。

何谓PHOTO区之前处理?

答:在Wafer上涂布光阻之前,需要先对Wafer表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括Bake,HDMS等过程。其中通过Bake将Wafer表面吸收的水分去除,然后进行HDMS工作,以使Wafer表面更容易与光阻结合。

何谓上光阻? 答:上光阻是为了在Wafer表面得到厚度均匀的光阻薄膜。光阻通过喷嘴(Nozzle)被喷涂在高速旋转的Wafer表面,并在离心力的作用下被均匀的涂布在Wafer的表面。 何谓Soft Bake?

答:上完光阻之后,要进行Soft Bake,其主要目的是通过Soft Bake将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。

何谓曝光?

答:曝光是将涂布在Wafer表面的光阻感光的过程,同时将光罩上的图形传递到Wafer上的过程。

何谓PEB(Post Exposure Bake)?

答:PEB是在曝光结束后对光阻进行控制精密的Bake的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。

何谓显影? 答:显影类似于洗照片,是将曝光完成的Wafer进行成象的过程,通过这个过程,成象在光阻上的图形被显现出来。

何谓Hard Bake?

答:Hard Bake是通过烘烤使显影完成后残留在Wafer上的显影液蒸发,并且固化显影完成之后的光阻的图形的过程。

何为BARC?何为TARC?它们分别的作用是什幺?

答:BARC=Bottom Anti Reflective Coating, TARC=Top Anti Reflective Coating.BARC是被涂布在光阻下面的一层减少光的反射的物质,TARC则是被涂布在光阻上表面的一层减少光的反射的物质。他们的作用分别是减少曝光过程中光在光阻的上下表面的反射,以使曝光的大部分能量都被光阻吸收。

何谓 I-line?

答:曝光过程中用到的光,由Mercury Lamp(汞灯)产生,其波长为365nm,其波长较长,因此曝光完成后图形的分辨率较差,可应用在次重要的层次。

何谓 DUV?

答:曝光过程中用到的光,其波长为248nm,其波长较短,因此曝光完成后的图形分辨率较好,用于较为重要的制程中。

I-line与DUV主要不同处为何?

答:光源不同,波长不同,因此应用的场合也不同。I-Line主要用在较落后的制程(0.35微米以上)或者较先进制程(0.35微米以下)的Non-Critical layer。DUV则用在先进制程的Critical layer上。

何为Exposure Field?

答:曝光区域,一次曝光所能覆盖的区域

何谓 Stepper? 其功能为何?

答:一种曝光机,其曝光动作为Step by step形式,一次曝整個exposure field,一個一個曝過去

何谓 Scanner? 其功能为何?

答:一种曝光机,其曝光动作为Scanning and step形式, 在一個exposure field曝光時, 先Scan完整個field, Scan完後再移到下一個field. 何为象差?

答:代表透镜成象的能力,越小越好. Scanner比Stepper优点为何?

答:Exposure Field大,象差较小

曝光最重要的两个参数是什幺? 答:Energy(曝光量), Focus(焦距)。如果能量和焦距调整的不好,就不能得到要求的分辨率和要求大小的图形,主要表现在图形的CD值超出要求的范围。因此要求在生产时要时刻维持最佳的能量和焦距,这两个参数对于不同的产品会有不同。

何为Reticle?

答:Reticle也称为Mask,翻译做光掩模板或者光罩,曝光过程中的原始图形的载体,通过曝光过程,这些图形的信息将被传递到芯片上。

何为Pellicle?

答:Pellicle是Reticle上为了防止灰塵(dust)或者微塵粒子(Particle)落在光罩的图形面上的一层保护膜。

何为OPC光罩?

答:OPC (Optical Proximity Correction)为了增加曝光图案的真实性,做了一些修正的光罩,例如,0.18微米以下的Poly, Metal layer就是OPC光罩。

何为PSM光罩?

答:PSM (Phase Shift Mask)不同于Cr mask, 利用相位干涉原理成象,目前大都应用在contact layer以及较小CD的Critical layer(如AA,POLY,METAL1)以增加图形的分辨率。

何為CR Mask?

答:傳統的鉻膜光罩,只是利用光訊0與1干涉成像,主要應用在較不Critical 的layer

光罩编号各位代码都代表什幺?

答:例如003700-156AA-1DA, 0037代表产品号,00代表Special code,156代表layer,A代表客户版本,后一个A代表SMIC版本,1代表FAB1,D代表DUV(如果是J,则代表I-line),A代表ASML机台(如果是C,则代表Canon机台)

光罩室同时不能超过多少人在其中?

答:2人,为了避免产生更多的Particle和静电而损坏光罩。

存取光罩的基本原则是什幺?

答:(1) 光罩盒打开的情况下,不准进出Mask Room,最多只准保持2个人(2) 戴上手套(3) 轻拿轻放

如何避免静电破坏Mask?

答:光罩夹子上连一导线到金属桌面,可以将产生的静电导出。

光罩POD和FOUP能放在一起吗?它们之间至少应该保持多远距离?

答:不能放在一起,之间至少要有30公分的距离,防止搬动FOUP时碰撞光罩Pod而损坏光罩。

何谓 Track?

答:Photo制程中一系列步骤的组合,其包括:Wafer的前、后处理,Coating(上光阻),和Develop(显影)等过程。

In-line Track机台有几个Coater槽,几个Developer槽?

答:均为4个

机台上亮红灯的处理流程?

答:机台上红灯亮起的时候表明机台处于异常状态,此时已经不能RUN货,因此应该及时Call E.E进行处理。若EE现在无法立即解决,则将机台挂DOWN。

何谓 WEE? 其功能为何?

答:Wafer Edge Exposure。由于Wafer边缘的光阻通常会涂布的不均匀,因此一般不能得到较好的图形,而且有时还会因此造成光阻peeling而影响其它部分的图形,因此 将Wafer Edge的光阻曝光,进而在显影的时候将其去除,这样便可以消除影响。

何为PEB?其功能为何?

答:Post Exposure Bake,其功能在于可以得到质量较好的图形。(消除standing waves)

PHOTO POLYIMIDE所用的光阻是正光阻还是负光阻

答:目前正负光阻都有,SMIC FAB内用的为负光阻。

RUN货结束后如何判断是否有wafer被reject?

答:查看RUN之前lot里有多少Wafer,再看Run之后lot里的WAFER是否有少掉,如果有少,则进一步查看机台是否有Reject记录。

何谓 Overlay? 其功能为何?

答:迭对测量仪。由于集成电路是由很多层电路重迭组成的,因此必须保证每一层与前面或者后面的层的对准精度,如果对准精度超出要求范围内,则可能造成整个电路不能完成设计的工作。因此在每一层的制作的过程中,要对其与前层的对准精度进行测量,如果测量值超出要求,则必须采取相应措施调整proce condition.

何谓 ADI CD?

答:Critical Dimension,光罩图案中最小的线宽。曝光过后,它的图形也被复制在Wafer上,通常如果这些最小的线宽能够成功的成象,同时曝光的其它的图形也能够成功的成象。因此通常测量CD的值来确定proce的条件是否合适。

何谓 CD-SEM? 其功能为何?

答:扫描电子显微镜。是一种测量用的仪器,通常可以用于测量CD以及观察图案。

PRS的制程目的为何?

答:PRS (Proce Release Standard)通过选择不同的条件(能量和焦距)对Wafer曝光,以选择最佳的proce condition。

何为ADI?ADI需检查的项目有哪些?

答:After Develop Inspection,曝光和显影完成之后,通过ADI机台对所产生的图形的定性检查,看其是否正常,其检查项目包括:Layer ID,Locking Corner,Vernier,Photo Macro Defect 何为OOC, OOS,OCAP?

答:OOC=out of control,OOS=Out of Spec,OCAP=out of control action plan 当需要追货的时候,是否需要将ETCH没有下机台的货追回来?

答:需要。因为通常是proce出现了异常,而且影响到了一些货,因此为了减少损失,必须把还没有ETCH的货追回来,否则ETCH之后就无法挽回损失。

PHOTO ADI检查的SITE是每片几个点?

答:5点,Wafer中间一点,周围四点。

PHOTO OVERLAY检查的SITE是每片几个点?

答:20

PHOTO ADI检查的片数一般是哪几片?

答:#1,#6,#15,#24; 统计随机的考量 何谓RTMS,其主要功能是什幺?

答:RTMS (Reticle Management System) 光罩管理系统用于trace光罩的History,Status,Location,and Information以便于光罩管理

PHOTO区的主机台进行PM的周期?

答:一周一次

PHOTO区的控片主要有几种类型

答:(1) Particle :作為Particle monitor用的芯片,使用前測前需小於10顆(2) Chuck Particle :作為Scanner測試Chuck平坦度的專用芯片,其平坦度要求非常高(3) Focus :作為Scanner Daily monitor best 的wafer(4) CD :做為photo區daily monitor CD穩定度的wafer(5) PR thickne :做為光阻厚度測量的wafer(6) PDM :做為photo defect monitor的wafer

当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

当TRACK刚显示光阻用完时,其实机台中还有光阻吗?

答:有少量光阻

WAFER SORTER有读WAFER刻号的功能吗?

答:有

光刻部的主要机台是什幺? 它们的作用是什幺?

答:光刻部的主要机台是: TRACK(涂胶显影机), Sanner(扫描曝光机) 为什幺说光刻技术最象日常生活中的照相技术

答:Track 把光刻胶涂附到芯片上就等同于底片,而曝光机就是一台最高级的照相机.光罩上的电路图形就是"人物".通过对准,对焦,打开快门, 让一定量的光照过光罩, 其图像呈现在芯片的光刻胶上, 曝光后的芯片被送回Track 的显影槽, 被显影液浸泡, 曝光的光刻胶被洗掉, 图形就显现出来了. 光刻技术的英文是什幺

答:Photo Lithography

常听说的.18 或点13 技术是指什幺?

答:它是指某个产品,它的最小"CD" 的大小为0.18um or 0.13um.越小集成度可以越高, 每个芯片上可做的芯片数量越多, 难度也越大.它是代表工艺水平的重要参数.

从点18工艺到点13 工艺到点零9.难度在哪里?

答:难度在光刻部, 因为图形越来越小, 曝光机分辨率有限. 曝光机的NA 是什幺?

答:NA是曝光机的透镜的数值孔径;是光罩对透镜张开的角度的正玹值.最大是1; 先进的曝光机的NA 在0.5 ---0.85之间. 曝光机分辨率是由哪些参数决定的? 答:分辨率=k1*Lamda/NA.Lamda是用于曝光的光波长;NA是曝光机的透镜的数值孔径; k1是标志工艺水准的参数, 通常在0.4--0.7之间. 如何提高曝光机的分辨率呢?

答:减短曝光的光波长, 选择新的光源; 把透镜做大,提高NA. 现在的生产线上, 曝光机的光源有几种, 波长多少?

答:有三种: 高压汞灯光谱中的365nm 谱线, 我们也称其为I-line; KrF 激光器, 产生248 nm 的光; ArF 激光器, 产生193 nm 的光; 下一代曝光机光源是什幺? 答:F2 激光器.波长157nm

我们可否一直把波长缩短,以提高分辨率? 困难在哪里?

答:不可以.困难在透镜材料.能透过157nm 的材料是CaF2, 其晶体很难生长.还未发现能透过更短波长的材料. 为什幺光刻区采用黄光照明?

答:因为白光中包含365nm成份会使光阻曝光,所以采用黄光; 就象洗像的暗房采用暗红光照明. 什幺是SEM

答:扫描电子显微镜(Scan Electronic Microscope)光刻部常用的也称道CD SEM.用它来测量CD

如何做Overlay 测量呢?

答:芯片(Wafer)被送进Overlay 机台中.先确定Wafer的位置从而找到Overlay MARK.这个MARK 是一个方块 IN 方块的结构.大方块是前层, 小方块是当层;通过小方块是否在大方块中心来确定Overlay的好坏. 生产线上最贵的机器是什幺

答:曝光机;5-15 百万美金/台

曝光机贵在哪里?

答:曝光机贵在它的光学成像系统 (它的成像系统由15 到20 个直径在200 300MM 的透镜组成.波面相位差只有最好象机的5%.它有精密的定位系统(使用激光工作台)

激光工作台的定位精度有多高?

答:现用的曝光机的激光工作台定位的重复精度小于10nm 曝光机是如何保证Overlay

答:曝光机要保证每层的图形之间对准精度

在WAFER 上, 什幺叫一个Field?

答:光罩上图形成象在WAFER上, 最大只有26X33mm一块(这一块就叫一个Field),激光工作台把WAFER 移动一个Field的位置,再曝一次光,再移动再曝光。 直到覆盖整片WAFER。 所以,一片WAFER 上有约100左右Field. 什幺叫一个Die?

答:一个Die也叫一个Chip;它是一个功能完整的芯片。 一个Field可包含多个Die;

为什幺曝光机的绰号是“印钞机”

答:曝光机 很贵;一天的折旧有3万-9万人民币之多;所以必须充份利用它的产能,它一天可产出1600片WAFER。

Track和Scanner内主要使用什幺手段传递Wafer:

答:机器人手臂(robot), Scanner 的ROBOT 有真空(VACCUM)来吸住WAFER.TRACK的ROBOT 设计独特, 用边缘HOLD WAFER.

可否用肉眼直接观察测量Scanner曝光光源输出的光

答:绝对禁止;强光对眼睛会有伤害

为什幺黄光区内只有Scanner应用Foundation(底座) 答:Scanner曝光对稳定性有极高要求(减震)近代光刻技术分哪几个阶段?

答:从80’S 至今可分4阶段:它是由曝光光源波长划分的;高压水银灯的G-line(438nm), I-line(365nm); excimer laser KrF(248nm), ArF laser(193nm) I-line scanner 的工作范围是多少?

答:CD >0.35um 以上的图层(LAYER) KrF scanner 的工作范围是多少?

答:CD >0.13um 以上的图层(LAYER) ArF scanner 的工作范围是多少?

答:CD >0.08um 以上的图层(LAYER) 什幺是DUV SCANNER

答:DUV SCANNER 是 指所用光源为Deep Ultra Voliet, 超紫外线.即现用的248nm,193nm Scanner

Scanner在曝光中可以达到精确度宏观理解:

答:Scanner 是一个集机,光,电为一体的高精密机器;为控制iverlay

光罩的结构如何?

答:光罩是一块石英玻璃,它的一面镀有一层铬膜(不透光).在制造光罩时,用电子束或激光在铬膜上写上电路图形(把部分铬膜刻掉,透光).在距铬膜5mm 的地方覆盖一极薄的透明膜(叫pellicle),保护铬膜不受外界污染.

在超净室(cleanroom)为什幺不能携带普通纸

答:普通纸张是由大量短纤维压制而成,磨擦或撕割都会产生大量微小尘埃(particle).进cleanroom 要带专用的Cleanroom Paper. 如何做CD 测量呢? 答:芯片(Wafer)被送进CD SEM 中.电子束扫过光阻图形(Pattern).有光阻的地方和无光阻的地方产生的二次电子数量不同; 处理此信号可的图像.对图像进行测量得CD. 什幺是DOF

答:DOF 也叫Depth Of Focus, 与照相中所说的景深相似.光罩上图形会在透镜的另一侧的某个平面成像, 我们称之为像平面(Image Plan), 只有将像平面与光阻平面重合(In Focus)才能印出清晰图形.当离开一段距离后, 图像模糊.这一可清晰成像的距离叫DOF

曝光显影后产生的光阻图形(Pattern)的作用是什幺?

答:曝光显影后产生的光阻图形有两个作用:一是作刻蚀的模板,未盖有光阻的地方与刻蚀气体反应,被吃掉.去除光阻后,就会有电路图形留在芯片上.另一作用是充当例子注入的模板.

光阻种类有多少?

答:光阻种类有很多.可根据它所适用的曝光波长分为I-line光阻,KrF光阻和ArF光阻

光阻层的厚度大约为多少?

答:光阻层的厚度与光阻种类有关.I-line光阻最厚,0.7um to 3um.KrF光阻0.4-0.9um.ArF光阻0.2-0.5um. 哪些因素影响光阻厚度?

答:光阻厚度与芯片(WAFER)的旋转速度有关,越快越薄,与光阻粘稠度有关.

哪些因素影响光阻厚度的均匀度?

答:光阻厚度均匀度与芯片(WAFER)的旋转加速度有关,越快越均匀,与旋转加减速的时间点有关.

当显影液或光阻不慎溅入眼睛中如何处理

答:大量清水冲洗眼睛,并查阅显影液的CSDS(Chemical Safety Data Sheet),把它提供给医生,以协助治疗

第13篇:半导体工艺

圓晶是制作 一般清洗技术

光学显影是在感光胶上经过曝光和显影的程序,把光罩上的图形转换到感光胶下面的薄膜层或硅晶上。光学显影主要包含了感光胶涂布、烘烤、光罩对准、曝光和显影等程序。 曝光方式:紫外线、X射线、电子束、极紫外

蝕刻技術(EtchingTechnology)是將材料使用化學反應物理撞擊作用而移除的技術。可以分為: 濕蝕刻(wetetching):濕蝕刻所使用的是化學溶液,在經過化學反應之後達到蝕刻的目的.乾蝕刻(dryetching):乾蝕刻則是利用一种電漿蝕刻

CVD化學气相沉積是利用热能、电浆放电或紫外光照射等化学反应的方式,在反应器内将反应物(通常为气体)生成固态的生成物,并在晶片表面沉积形成稳定固态薄膜

较为常见的CVD薄膜包括有:■二气化硅(通常直接称为氧化层)■氮化硅■多晶硅■耐火金属与这类金属之其硅化物

物理气相沈積(PVD)

主要是一种物理制程而非化学制程。此技术一般使用氩等钝气,藉由在高真空中将氩离子加速以撞击溅镀靶材后,可将靶材原子一个个溅击出来,并使被溅击出来的材质(通常为铝、钛或其合金)如雪片般沉积在晶圆表面

离子植入技术可将掺质以离子型态植入半导体组件的特定区域上,以获得精确的电子特性。

太阳能的生产工艺流程分为硅片检测——表面制绒及酸洗——扩散制结——去磷硅玻璃——等离子刻蚀及酸洗——镀减反射膜——丝网印刷——快速烧结等。

丝印简单点就叫漏印,是通过胶刮压力迫使油墨从网眼中漏下去。使用的网版、胶刮。 移印则是通过胶头的作用将刻在钢板上的图案转移到工件上,类似盖章。使用钢板、胶头。 一般情况下丝印的墨层比移印厚,因此线条比较细的图案用移印比较容易,大色块的图案用丝印比较容易。

丝印机总的来讲,用于平面或者曲面的印刷。而移印机可以用于很多不规则物品的印刷的,比如球体之类的等等。丝印机需要出网版,移印机需要做钢板

单晶硅绒面的制备是利用硅的各向异性腐蚀,在每平方厘米硅表面形成几百万个四面方锥体也即金字塔结构。由于入射光在表面的多次反射和折射,增加了光的吸收,提高了电池的短路电流和转换效率

太阳能电池需要一个大面积的PN结以实现光能到电能的转换,而扩散炉即为制造太阳能电池PN结的专用设备

一、硅片检测

硅片是太阳能电池片的载体,硅片质量的好坏直接决定了太阳能电池片转换效率的高低,因此需要对来料硅片进行检测。该工序主要用来对硅片的一些技术参数进行在线测量,这些参数主要包括硅片表面不平整度、少子寿命、电阻率、P/N型和微裂纹等。该组设备分自动上下料、硅片传输、系统整合部分和四个检测模块。其中,

光伏硅片检测仪对硅片表面不平整度进行检测,同时检测硅片的尺寸和对角线等外观参数;微裂纹检测模块用来检测硅片的内部微裂纹;另外还有两个检测模组,其中一个在线测试模组主要测试硅片体电阻率和硅片类型,另一个模块用于检测硅片的少子寿命。在进行少子寿命和电阻率检测之前,需要先对硅片的对角线、微裂纹进行检测,并自动剔除破损硅片。硅片检测设备能够自动装片和卸片,并且能够将不合格品放到固定位置,从而提高检测精度和效率。

二、表面制绒

单晶硅绒面的制备是利用硅的各向异性腐蚀,在每平方厘米硅表面形成几百万个四面方锥体也即金字塔结构。由于入射光在表面的多次反射和折射,增加了光的吸收,提高了电池的短路电流和转换效率。硅的各向异性腐蚀液通常用热的碱性溶液,可用的碱有氢氧化钠,氢氧化钾、氢氧化锂和乙二胺等。大多使用廉价的浓度约为1%的氢氧化钠稀溶液来制备绒面硅,腐蚀温度为70-85℃。为了获得均匀的绒面,还应在溶液中酌量添加醇类如乙醇和异丙醇等作为络合剂,以加快硅的腐蚀。制备绒面前,硅片须先进行初步表面腐蚀,用碱性或酸性腐蚀液蚀去约20~25μm,在腐蚀绒面后,进行一般的化学清洗。经过表面准备的硅片都不宜在水中久存,以防沾污,应尽快扩散制结。

三、扩散制结

太阳能电池需要一个大面积的PN结以实现光能到电能的转换,而扩散炉即为制造太阳能电池PN结的专用设备。管式扩散炉主要由石英舟的上下载部分、废气室、炉体部分和气柜部分等四大部分组成。扩散一般用三氯氧磷液态源作为扩散源。把P型硅片放在管式扩散炉的石英容器内,在850---900摄氏度高温下使用氮气将三氯氧磷带入石英容器,通过三氯氧磷和硅片进行反应,得到磷原子。经过一定时间,磷原子从四周进入硅片的表面层,并且通过硅原子之间的空隙向硅片内部渗透扩散,形成了N型半导体和P型半导体的交界面,也就是PN结。这种方法制出的PN结均匀性好,方块电阻的不均匀性小于百分之十,少子寿命可大于10ms。制造PN结是太阳电池生产最基本也是最关键的工序。因为正是PN结的形成,才使电子和空穴在流动后不再回到原处,这样就形成了电流,用导线将电流引出,就是直流电。

四、去磷硅玻璃

该工艺用于太阳能电池片生产制造过程中,通过化学腐蚀法也即把硅片放在氢氟酸溶液中浸泡,使其产生化学反应生成可溶性的络和物六氟硅酸,以去除扩散制结后在硅片表面形成的一层磷硅玻璃。在扩散过程中,POCL3与O2反应生成P2O5淀积在硅片表面。P2O5与Si反应又生成SiO2和磷原子,

这样就在硅片表面形成一层含有磷元素的SiO2,称之为磷硅玻璃。去磷硅玻璃的设备一般由本体、清洗槽、伺服驱动系统、机械臂、电气控制系统和自动配酸系统等部分组成,主要动力源有氢氟酸、氮气、压缩空气、纯水,热排风和废水。氢氟酸能够溶解二氧化硅是因为氢氟酸与二氧化硅反应生成易挥发的四氟化硅气体。若氢氟酸过量,反应生成的四氟化硅会进一步与氢氟酸反应生成可溶性的络和物六氟硅酸。

五、等离子刻蚀

由于在扩散过程中,即使采用背靠背扩散,硅片的所有表面包括边缘都将不可避免地扩散上磷。PN结的正面所收集到的光生电子会沿着边缘扩散有磷的区域流到PN结的背面,而造成短路。因此,必须对太阳能电池周边的掺杂硅进行刻蚀,以去除电池边缘的PN结。通常采用等离子刻蚀技术完成这一工艺。等离子刻蚀是在低压状态下,反应气体CF4的母体分子在射频功率的激发下,产生电离并形成等离子体。等离子体是由带电的电子和离子组成,反应腔体中的气体在电子的撞击下,除了转变成离子外,还能吸收能量并形成大量的活性基团。活性反应基团由于扩散或者在电场作用下到达SiO2表面,在那里与被刻蚀材料表面发生化学反应,并形成挥发性的反应生成物脱离被刻蚀物质表面,被真空系统抽出腔体。

六、镀减反射膜

抛光硅表面的反射率为35%,为了减少表面反射,提高电池的转换效率,需要沉积一层氮化硅减反射膜。工业生产中常采用PECVD设备制备减反射膜。PECVD即等离子增强型化学气相沉积。它的技术原理是利用低温等离子体作能量源,样品置于低气压下辉光放电的阴极上,利用辉光放电使样品升温到预定的温度,然后通入适量的反应气体SiH4和NH3,气体经一系列化学反应和等离子体反应,在样品表面形成固态薄膜即氮化硅薄膜。一般情况下,使用这种等离子增强型化学气相沉积的方法沉积的薄膜厚度在70nm左右。这样厚度的薄膜具有光学的功能性。利用薄膜干涉原理,可以使光的反射大为减少,电池的短路电流和输出就有很大增加,效率也有相当的提高。

七、丝网印刷

太阳电池经过制绒、扩散及PECVD等工序后,已经制成PN结,可以在光照下产生电流,为了将产生的电流导出,需要在电池表面上制作正、负两个电极。制造电极的方法很多,而丝网印刷是目前制作太阳电池电极最普遍的一种生产工艺。丝网印刷是采用压印的方式将预定的图形印刷在基板上,该设备由电池背面银铝浆印刷、电池背面铝浆印刷和电池正面银浆印刷三部分组成。其工作原理为:利用丝网图形部分网孔透过浆料,用刮刀在丝网的浆料部位施加一定压力,同时朝丝网另一端移动。油墨在移动中被刮刀从图形部分的网孔中挤压到基片上。由于浆料的粘性作用使印迹固着在一定范围内,印刷中刮板始终与丝网印版和基片呈线性接触,接触线随刮刀移动而移动,从而完成印刷行程。

八、快速烧结

经过丝网印刷后的硅片,不能直接使用,需经烧结炉快速烧结,将有机树脂粘合剂燃烧掉,剩下几乎纯粹的、由于玻璃质作用而密合在硅片上的银电极。当银电极和晶体硅在温度达到共晶温度时,晶体硅原子以一定的比例融入到熔融的银电极材料中去,从而形成上下电极的欧姆接触,提高电池片的开路电压和填充因子两个关键参数,使其具有电阻特性,以提高电池片的转换效率。

烧结炉分为预烧结、烧结、降温冷却三个阶段。预烧结阶段目的是使浆料中的高分子粘合剂分解、燃烧掉,此阶段温度慢慢上升;烧结阶段中烧结体内完成各种物理化学反应,形成电阻膜结构,使其真正具有电阻特性,该阶段温度达到峰值;降温冷却阶段,玻璃冷却硬化并凝固,使电阻膜结构固定地粘附于基片上。

九、外围设备

在电池片生产过程中,还需要供电、动力、给水、排水、暖通、真空、特汽等外围设施。消防和环保设备对于保证安全和持续发展也显得尤为重要。一条年产50MW能力的太阳能电池片生产线,仅工艺和动力设备用电功率就在1800KW左右。工艺纯水的用量在每小时15吨左右,水质要求达到中国电子级水GB/T11446.1-1997中EW-1级技术标准。工艺冷却水用量也在每小时15吨左右,水质中微粒粒径不宜大于10微米,供水温度宜在15-20℃。真空排气量在300M3/H左右。同时,还需要大约氮气储罐20立方米,氧气储罐10立方米。考虑到特殊气体如硅烷的安全因素,还需要单独设置一个特气间,以绝对保证生产安全。另外,硅烷燃烧塔、污水处理站等也是电池片生产的必备设施。

第14篇:初三物理教案 半导体

初三物理教案 半导体

初三物理教案 半导体

教学目标

知识目标

了解半导体以及半导体在现代科学技术中的应用.

能力目标

通过半导体知识的学习,扩展知识面.

情感目标

知道半导体在现代科技中的重要性,树立科技强国的观念.

教学建议

教材分析 教材从分析导体和绝缘体的区别入手,进一步引入另一种介乎导体和绝缘体之间的材料--半导体.接着分析了半导体的特点并提出问题.

教材又结合实例,介绍几种半导体的特性,说明了半导体地重要性.

教法建议

本节的教学要注重科技的联系,避免孤立的学习,要注意联系实际.

可以提出问题学生自主学习,学生根据提出的问题,可以利用教材和教师提供的一些资料进行学习.

也可以教师提出课题,学生查阅资料,从收集资料、信息的过程中学习,提高收集信息和处理信息的能力.

教学设计方案

【教学过程设计】 方法

1、学生阅读教材,教师提供一些半导体的材料,教师提出一些问题,学生阅读时思考,例如:半导体和导体、绝缘体的有什么不同?你知道那些半导体元件?半导体都在哪些地方有应用?

方法

2、对于基础较好的班级,可以采用实验探究和信息学习的方法.实例如下

实验探究:可以组织学生小组,图书馆、互联网查阅有关半导体方面的资料,小组讨论,总结半导体和导体、绝缘体的区别.

【板书设计】

1.半导体

概念

与导体、绝缘体的区别

2.半导体材料

3.半导体的电学性能 探究活动

【课题】探究二极管的特性

【组织形式】学习小组

【活动方式】查阅有关资料,总结、讨论

【活动内容】查找、总结

1、二极管的四个特性.

2、判断二极管的方法.

3、二极管的有关参数.

.

第15篇:半导体温度传感器

温度传感器的论文温度传感器设计论文

简述半导体温度传感器设计

摘要:传感器属于信息技术的前沿尖端产品,尤其是温度传感器被广泛用于工农业生产、科学研究和生活等领域,数量高居各种传感器之首。半导体传感器是利用某些半导体的电阻随温度变化而变化的特性制成的。半导体具有很宽的温度反应特性,各种半导体的温度反应区段不同。

关键词:半导体 温度传感器

一、温度传感器原理

温度是一个基本的物理量,自然界中的一切过程无不与温度密切相关。温度传感器是最早开发,应用最广的一类传感器。温度传感器的市场份额大大超过了其他的传感器。在半导体技术的支持下,相继开发了半导体热电偶传感器、PN结温度传感器和集成温度传感器。温度传感器有四种主要类型:热电偶、热敏电阻、电阻温度检测器(RTD)和IC温度传感器。IC温度传感器又包括模拟输出和数字输出两种类型。

1、接触式温度传感器的检测部分与被测对象有良好的接触,又称温度计。温度计通过传导或对流达到热平衡,从而使温度计的示值能直接表示被测对象的温度。一般测量精度较高。在一定的测温范围内,温度计也可测量物体内部的温度分布。但对于运动体、小目标或热容量很小的对象则会产生较大的测量误差,常用的温度计有双金属温度计、玻璃液体温度计、压力式温度计、电阻温度计、热敏电阻和温差电偶等。

2、非接触式温度传感器的敏感元件与被测对象互不接触,又称非接触式测温仪表。这种仪表可用来测量运动物体、小目标和热容量小或温度变化迅速(瞬

)对象的表面温度,也可用于测量温度场的温度分布。 非接触测温优点:测量上限不受感温元件耐温程度的限制,因而对最高可测温度原则上没有限制。对于1800℃以上的高温,主要采用非接触测温方法。随着红外技术的发展,辐射测温逐渐由可见光向红外线扩展,700℃以下直至常温都已采用,且分辨率很高。

二、智能温度传感器发展的新趋势

进入21世纪后,智能温度传感器正朝着高精度、多功能、总线标准化、高可靠性及安全性、开发虚拟传感器和网络传感器、研制单片测温系统等高科技的方向迅速发展。

1、提高测温精度和分辨力 在20世纪90年代中期最早推出的智能温度传感器,采用的是8位A/D转换器,其测温精度较低,分辨力只能达到1℃。目前,国外已相继推出多种高精度、高分辨力的智能温度传感器,所用的是9~12位A/D转换器,分辨力一般可达0.5~0.0625℃。

2、增加测试功能 新型智能温度传感器的测试功能也在不断增强。智能温度传感器正从单通道向多通道的方向发展,这就为研制和开发多路温度测控系统创造了良好条件。智能温度传感器都具有多种工作模式可供选择,主要包括单次转换模式、连续转换模式、待机模式,有的还增加了低温极限扩展模式,操作非常简便。对某些智能温度传感器而言,主机(外部微处理器或单片机)还可通过相应的寄存器来设定其A/D转换速率,分辨力及最大转换时间。 智能温度控制器是在智能温度传感器的基础上发展而成的。

3、可靠性及安全性设计 传统的A/D转换器大多采用积分式或逐次比较式转换技术,其噪声容限低,抑制混叠噪声及量化噪声的能力比较差。新型智能温度传感器普遍采用了高性能的Σ-Δ式A/D转换器,它能以很高的采样速率和很

,再利用过采样、噪声整形和数字滤波技术,来提高有效分辨力。Σ-Δ式A/D转换器不仅能滤除量化噪声,而且对外围元件的精度要求低。

三、半导体温度传感器测温原理及其关键技术

硅基IC电路中,可实现温度传感功能的元器件主要有集成电阻器、二极管、双极晶体管、MOS晶体管。当然,还有各种利用MEMS工艺制造的热敏电阻器、热电偶等,但目前基本上还与CMOS工艺不兼容。

1、双极晶体管温度传感器

二极管的电流包括扩散电流和耗尽层、表面层里的产生复合电流,后者在双极晶体管的基极互相抵消,所以,正向偏置的双极晶体管的集电极电流IC基本上都是纯扩散电流,若利用高精度电流源,令2个匹配晶体管的集电极电流相同,ΔVBE将和绝对温度成正比。但这样得到的温度电压曲线起点是绝对零度,对于-50~150℃的测温范围,电压输出不是0~5V,对于后端A/D来说,需要额外的电平移动电路。通过构造Vf=aVptat-VBE1和Vref=VBE1+aVptat可以得到任意的过零点TZ以及几乎不随温度变化的恒压源。采用BJT的优点是低成本、长期稳定性、高灵敏度、可预测性较高,以及相关温度的时间非依赖性。缺点是受自生成熟、工艺容差的影响,以及热循环后信号有小漂移和小数量级的非线性。为了工艺兼容,需要采用寄生三极管技术实现,主要有2种结构:纵向双极晶体管,横向双极晶体管。

2、CMOS温度传感器

利用CMOS构建温度传感器一般有2种途径。其一是利用MOS管的亚阈值区构造MOS管的PTAT,灵敏度可达1.32mV/℃,但对偏置源的依赖有100mV/V,且高

,因对阈值电压VT依赖大,在高性能要求时,必须有大范围的微调和校准,不具备长期稳定性;另一途径是通过强反型状态下,MOS管的载流子迁移率μ与VT和温度的关系加以测量。基于此有5种设计方案:即只基于μ随温度的改变;只基于VT随温度的改变;同时考虑VT和μ2个变量;利用MOS器件的零温度系数点,以及利用逻辑门延时随温度增加的原理来构建的数字环振。CMOS温度传感器和基于寄生BJT的温度传感器相比的主要优势在于模型精确,受封装影响小,在AC电源下衬底漏电小,且占用芯片面积小等优势,但其主要的缺点是受工艺波动的影响要大于后者,所以,产业界目前仍普遍采用CVBT技术。

3、半导体温度传感器

输出方式采用模拟输出的温度传感器需要外加线性化电路及校准,因此,会使成本增加。而数字化接口或频率输出能使性能更可靠,即使在量产时仍能保持其精确度。频率输出通常采用的方法是做一个环形振荡器或张驰振荡器。前者会受VDD变化的影响,而后者理论上与VDD无关。两者都基于相同的原理,通过对电容器的充放电产生振荡,充放电电流来源于某个温度敏感元件。为了数字接口输出,有通过片上计数器实现,其主要缺点是面积大;另一种方案是采用片上集成A/D,然后,通过I2C等总线协议输出。

结论

温度传感器市场在不断变化的创新之中呈现出快速增长的趋势。该领域的主要技术将在现有基础上予以延伸和提高,随着新一代温度传感器的开发和产业化,竞争也将变得日益激烈。

参考文献

缪家鼎, 徐文娟, 牟同升.光电技术.杭州: 浙江大学出版社

张英,王海容, 蒋庄德.半导体吸收式光纤温度传感器的研究.压电与声光,

Szekely V.Marta C.Kohari Z CMOS sensors for on-line thermal monitoring of VLSI circuits

第16篇:半导体三极管教案

半导体三极管

学科:电子技术基础 班级:11秋电子技术应用9班 教师:胡明锋 授课类型:讲授 课时:一课时

一、教学目标:

知识目标 识记半导体三极管的定义、掌握三极管的结构、分类和符号。 技能目标 能够画出半导体三极管的结构和符号,能够识别出三极管。 情感目标 培养学生发现问题的能力,归纳知识的能力。

二、教学重点:

1.三极管的定义、结构、符号。2.三极管的NPN、PNP两种类型的认识。

三、教学难点

三极管的结构、符号、三极管的NPN、PNP两种类型的认识

四、教学媒体

多媒体课件、半导体三极管、半导体二极管、粉笔。

五、教学方法 讲授法、演示法。

六、教学过程

(一)、导入新课

1.复习内容:复习上节课半导体二极管的知识,重点复习半导体的定义、PN结的定义和特性,半导体二极管的符号和主要特性。

2.导入新课:在半导体器件中,除了半导体二极管外还有一种广泛应用于各种电子电路的重要器件,那就是半导体三极管,通常也称为晶体管。半导体三极管在电子电路里的主要作用是放大作用

(二)、半导体三极管的结构和符号:

1.观察半导体三级管的结构并熟识该图,要求能完整画出该图。2.PNP型及NPN型三极管的内部结构及符号如图所示

半导体三极管的结构与符号

PNP型 NPN型

3.半导体三极管是一种有三个电极、两个PN结的半导体器件。三区:发射区、基区、集电区。 三极:发射极E、基极B、集电极C。

两结:发射结(发射极与基极之间的PN结)、集电结(集电极与基极之间的PN结)。

4.根据半导体基片材料不同,三极管可分为PNP型和NPN型两大类。

5.两者的符号区别在于发射极的箭头方向不同。箭头方向就是发射极正向电流的方向。

(三)、半导体三极管的分类

1.按半导体基片材料不同:NPN型和PNP型。2.按功率分:小功率管和大功率管。 3.按工作频率分:低频管和高频管。 4.按管芯所用半导体材料分:锗管和硅管。 5.按结构工艺分:合金管和平面管。 6.按用途分:放大管和开关管。

(四)、外形及封装形式

三极管常采用金属、玻璃或塑料封装。常用的外形及封装形式如图所示。

七、作业

1.画出PNP型及NPN型三极管的内部结构及符号并指出三个电极、两个PN结。2.半导体三极管的分类有哪几种?

八、板书设计 1.半导体三极管的结构 2.半导体三极管的符号 3.半导体三极管的定义 4.NPN型和PNP型半导体三极管 5.半导体三极管的分类

6.半导体三极管的外形及封装形式

九、教学后记

1.通过学生自主活动及多媒体课件演示,不仅使各教学内容有机的结合,而且丰富了教学手段,增强了教学的直观性,达到良好的教学效果,从而增强了学生的自信心。

2.遵循学生的认知规律,坚决贯彻“学做合一”或“做、学、做”的双向程序模式,学生学会在活动过程中获取新知识的乐趣和能力。

3.透过活动项目过程,表明教学效果良好,同学们加深了对所学知识的理解和记忆,灵活运用所学知识解决实际问题的能力显著提高。部分学生自主活动能力还是有所欠缺,通过教师引导和讲解能够有较大的提高,另一个问题是学生对活动内容的熟练程度不够,应该增加练习的时间。

第17篇:半导体物理教学大纲

《半导体物理》

课程编号:01500277

课程名称:半导体物理 Semiconductor Physics 学分:3.5 学时: 56

先修课程: 固体物理、量子力学、理论物理

一、目的与任务

《半导体物理学》是电子科学与技术专业的一门必修课程。通过学习本课程,使学生掌握半导体物理的基本理论和基本规律,培养学生分析和应用半导体各种物理效应的能力,同时为后继课程《半导体器件》与《半导体集成电路》的学习奠定基础。

本课程的任务是揭示和研究半导体的微观机构,从微观的角度解释发生在半导体中的宏观物理现象;重点学习半导体中的电子状态及运动规律;学习半导体中载流子的统计分布、输运理论及相关规律;学习载流子在输运过程中发生的一些宏观物理现象;学习半导体的某些基本结构,包括金属半导体结及表面问题。

二、教学内容及学时分配

第一章 半导体中的电子状态(8学时) 1.半导体中的电子状态与能带 2.半导体中电子的运动有效质量 3.本征半导体的导电机构空穴 4.硅和锗的能带结构

第二章 半导体中杂质和缺陷能级(2学时) 1.硅、锗晶体中的杂质能级 2.Ⅲ-V族化合物中的杂质能级

第三章 半导体中载流子的统计分布(8学时) 1.状态密度

2.费米能级和载流子的统计分布 3.本征半导体的载流子浓度 4.杂质半导体的载流子浓度 5.一般情况下的载流子统计分布 6.简并半导体

第四章 半导体的导电性(8学时) 1.载流子的漂移运动迁移率 2.载流子的散射

3.迁移率与杂质浓度和温度的关系 4.电阻率及其与杂质浓度和温度的关系 5.波尔兹曼方程电导率的统计理论 6.强电场下的效应,热载流子 7.多能谷散射耿氏效应 第五章 非平衡载流子(8学时) 1.非平衡载流子的注入与复合 2.非平衡载流子的寿命 3.准费米能级 4.复合理论 5.陷阱效应 6.载流子的扩散运动

7.载流子的漂移运动爱因斯坦关系 8.连续性方程式

第六章 金属和半导体接触(4学时) 1.金属与半导体接触及其能带图 2.金属与半导体接触的整流理论 3.欧姆接触

第七章 半导体表面与MIS结构(4学时) 1.表面态 2.表面电场效应

3.MIS结构的电容电压特性 4.硅—二氧化硅系统的性质 第八章 异质结(2学时) 1.异质结及其能带图 2.异质结的电流输运机构

第九章半导体的光电性质、光电与发光现象(4学时) 1.半导体的光吸收和光电导 2.半导体的光生伏特效应 3.半导体的发光、激光

第十章 半导体热电性质(4学时) 1.热电效应 2.热电效应的应用

第十一章 半导体磁和压阻效应(4学时) 1.霍耳效应 2.磁阻效应 3.光磁电效应 4.压阻效应

三、考核与成绩评定

采用纸笔式闭卷考试,按百分制进行成绩评定。

四、大纲说明

1.本课程在理论物理基础课程学习之后开设。学生应掌握必要的热力学与统计物理、量子力学、电磁场、固体物理学等知识。

2.在保证基本教学要求的前提下,教师可以根据实际情况,对内容进行适当的调整和删节。

3.本大纲适合近电子科学与技术类专业。

五、教科书、参考书

[1]刘恩科,朱秉升,罗晋生等.半导体物理学[M].北京:国防工业出版社,1994.

[2]叶良修.半导体物理学[M].上册.北京:高等教育出版社,1986.[3]S.M.Sze,physics of Semiconductor Devices[M].John Wiley and Sons,Inc.1981.《微电子器件基础》

第18篇:第八章 半导体发光

第八章

半导体发光

研究一种新型半导体材料,首先是要对它的光电以及结晶品质等进行研究。对于光电子材料。对它的发光性质的研究是一个重大课题,有大量的工作可做。可以说每一种光电子材料的光学性质研究都有大量文献报道。通过对材料的发光性能的研究,可以判定材料的生长质量,发光特性,杂质情况,杂质电离能,适合不适合制作发光器件等。 画光谱图

1.辐射跃迁:处于激发态的电子向较低的能级跃迁,同时发射光子的过程。要求系统处于非平衡状态,一般通过一些外加的激发手段才能达到。

电致发光:电流激发。

阴极射线发光:电子束激发。

光致发光:光激发,入射光子能量要大于材料禁带宽度。

2.发光波长与能量的关系:λ=c/v=hc/E=1240/E(nm),E单位为电子伏特(eV)

3.带-带跃迁:导带的电子跃迁到价带,与空穴复合,自由载流子复合。(激子效应对半导体发光光谱有更重要的影响,但在较高实验温度下和对于纯度较差的样品,可以观察到带-带跃迁)

发光光谱形状:F(hv )∝( hv )2(hv-Eg)1/2

exp-(hv-Eg)/KT

特征:发光峰在Eg附近。发光峰具有一个高能量尾部,在hv=Eg处,低能量边缘突然截止。在低激发情况,发射峰的半峰宽近似等于0.7kT。随掺杂浓度增加和费米能级深入导带,发光峰峰位置和高能边缘均向高能量方向移动。增加激发和升高温度也可导致发光向高能方移动。自吸收导致实验观测的发光光谱向低能方向漂移。 K:玻尔兹曼常数,8.62x10-5电子伏特/度。300K时,KT约26meV。77K时,KT约6.6meV。

4. 自由激子:自由电子和自由空穴由与库仑力作用而束缚在一起所形成的系统,可

在晶体中运动。电子与空穴之间的作用类似与氢原子中电子与质子的相互作用。自由激子代表了低激发密度下纯半导体中电子和空穴的能量最低的本征激发态。(对足够纯的半导体材料,低温下本征辐射复合的主要特征可以是激子复合导致的狭窄谱线。按激子复合发光模型,发光谱低能端应在激子波矢0对应的激子能量处突然截止,考虑激子效应时,有时还需考虑激子和光子耦合导致的激子极化激元的效应,可以解释实验观察到的发光谱线的低能带尾)。温度较低,材料纯度较高时可观察到。

发光峰能量:hv= hv=Eg-Eex 束缚能:Eex=-mr*q4/8ε

r

2

ε

0

2

h2n2 = (mr* /mo)(13.6/ε

r

2

)(1/n2)

mr*为电子和空穴的折合质量 mr*=mp* /(mp*+mn*)

mp* ,mn*分别是空穴和电子的有效质量。(在杂质原子里(如施主),核的有效质量很大,因此,其折合质量等于电子的有效质量。但激子折合质量要小于电子,激子束缚能要低于施主或受主的束缚能) (一般只能观察到n=1,2的谱线)

特征:发光峰能量略低于Eg,离化能可估计出,发光峰尖锐,半峰宽在几

个meV以内。发光强度与激发密度成线性关系,一般在低温下才可观察到。

自由激子的声子伴线:自由激子在复合时,发射了一个或多个声子,同时发出的光子。

发光峰能量:hv= hv=Eg-Eex-mEp

特征:发光峰一般伴随自由激子峰出现。其与自由激子的能量差为声子能量。出现多声子伴线时,发射峰之间的能量差相等。

横向光学声子(TO),横向声学声子(TA),纵向光学声子(LO),纵向声学声子(LA)一般最易观察到纵向光学声子(LO声子)伴线。

5. 束缚激子:束缚在杂质上的激子。杂质中心俘获电子或空穴,然后俘获相反符号的

载流子;或者杂质中心俘获一个自由激子。束缚激子不能在晶体中自由运动。可束缚在中性施主,中性受主,电离施主,电离受主上。(从能量的观点看,如果激子处在杂质中心附近时使系统能量下降,那么激子保持在杂质或缺陷附近是有利的,激子可以束缚在杂质中心上。)低温观察KT/ EDx﹤0.3。

中性施主束缚激子:D0X

电离施主束缚激子:D+X 中性受主束缚激子:A0X

电离受主束缚激子:A+X 对中性施主或受主,杂质中心都有可能束缚激子,但电离杂质的情况就不一样。判定:有效质量比:σ:me*/mh*,认为:对于电离施主,σ小于0.71,系统能量下降,也有认为,σ小于0.2时,束缚激子(D+X)才是稳定的。当σ接近0时,Eb=0.22 Ex。D+X离解为一个中性施主和一个自由空穴比离解为一个电离施主和一个自由激子更容易发生。对于电离受主束缚激子,只有当σ大于1.4时,才可能存在,因此一般电离受主束缚激子很难观察到。具体参照半导体光学性质337,图5.21。

中性施主束缚激子:D0X

电离施主束缚激子:D+X 中性受主束缚激子:A0X

电离受主束缚激子:A+X 发光峰能量:hv= Eg-Ex-Eb,电离施主束缚激子hv= Eg-EDx= Eg-ED-D(D0h) 束缚能:Ex+Eb

其中,Ex为自由激子束缚能,Eb是将自由激子束缚到杂质中心的附加能。

特征:发光峰能量略低于自由激子,发射谱线很窄(样品较纯的情况下,束缚激子的波函数可认为互不交叠,基态能量是孤立和局域化的,不同于自由激子,其动能项对发光谱线的展宽效应可忽略不计),半峰宽一般低于1meV。GaAs,束缚于浅杂质的激子发射谱线宽在0.1meV数量级。(各种束缚激子的判定较为复杂,首先可比较实验观测到的束缚激子发光谱线的能量和各种不同束缚激子态束缚能的理论估计)如,利用有效质量近似,类氢模型估算出的自由激子束缚能(Eex),计算出有效质量比σ:me*/mh*,在已知该材料的σ情况下,根据不同束缚激子能Eb与Eex的关系估算出Eb,得到各种束缚激子的发光峰能量,与实验值比较。还可以结合磁场作用下的束缚激子发光谱线的塞曼分裂来判定。

束缚激子的声子伴线:束缚激子在复合时,发射了一个或多个声子,同时发出的光子。

6.深跃迁:电子从导带跃迁到受主能级,或从施主能级跃迁到价带。

发光能量:hv= Eg-Ei

Ei (EA受主束缚能,ED施主束缚能)

施主束缚能:ED= mn*q4/8ε

r

2

ε

0

2

h2= 13.6 mn*/m0ε

r

mn*: 电导有效质量,m0: 电子惯性质量。εr: 相对介电常数。

如果掺杂浓度达到1018cm-3, 导带电子跃迁到受主能级或从施主能级跃迁到价带的几率和带-带跃迁,激子跃迁有相同的量级,不难在实验中观察到。也可观察到声子伴线峰。

特征:发光峰能量低于激子峰,一般谱线较宽。当杂质浓度增加时,发光峰展宽,峰位能量漂移。(半导体的光学性质,P362。,半导体中的光学过程,P151) 7.施主-受主对:施主离子及其束缚的电子和受主离子及其束缚的空穴可以构成施主-受主对(D-A对)(半导体中的光学过程,P160),KT﹤Ei时,载流子被电离杂质俘获后很难热电离,D-A对的跃迁变得重要。

发光峰能量:hv=Eg-(EA+ED)+e2/(4πεr),其中,r为施主-受主对的间距。

特征:当r不是很大(10-50晶格常数)可显示为一系列分立的谱线,但在r较大时,形成一个连续的宽发射谱。随激发密度增大,激发近距离的D-A对数目增多,发光峰向高能方移动。

8.能带内的跃迁,导带热电子跃迁到价带顶,导带底电子与价带热电子复合:在直接带隙半导体中很难观察到,而价带空穴到电离受主的跃迁的声子发射几率远大于光子发射几率,一般难以观察到。

半导体的光吸收

探测半导体能带结构最直接的方法就是测量它的吸收光谱。研究一种新型半导体材料,首先是要对它的光电以及结晶品质等进行研究。对于光电子材料。对它的发光性质的研究是一个重大课题,有大量的工作可做。可以说每一种光电子材料的光学性质研究至少有上千篇的相关文献报道。通过对材料的发光性能的研究,可以判定材料的生长质量,发光特性,杂质情况,杂质电离能,适合不适合制作发光器件等。 画光谱图

1. 本征吸收:价带电子吸收能量跃迁到导带的过程。可判定材料的禁带宽度。 条件:入射光子能量大于禁带宽度。 特点:吸收系数与光子的能量关系为:

α(hv)=A(hv-Eg)1/2,hv≥Eg

=0

hv<Eg 处于激发态的电子向较低的能级跃迁,同时发射光子的过程。要求系统处于非平衡状态,一般通过一些外加的激发手段才能达到。

电致发光:电流激发。

阴极发光:电子束激发。

光致发光:光激发,入射光子能量要大于材料禁带宽度。

2.发光波长与能量的关系:λ=hv=hc/E=1240/E(nm),E单位为电子伏特(eV)

3.带-带跃迁:导带的电子跃迁到价带,与空穴复合,自由载流子复合。(激子效应对半导体发光光谱有更重要的影响,但在较高实验温度下和对于纯度较差的样品,可以观察到带-带跃迁)

发光光谱形状:L=B(hv-Eg)1/2

特征:发光峰在Eg附近。发光峰具有一个高能量尾部,在hv=Eg处,低能量边缘突然截止。在低激发情况,发射峰的半峰宽近似等于0.7kT。

K:玻尔兹曼常数,8.62x10-5电子伏特/度。300K时,KT约26meV。77K时,KT约6.6meV。

6. 自由激子:自由电子和自由空穴由与库仑力作用而束缚在一起所形成的系统,可

在晶体中运动。电子与空穴之间的作用类似与氢原子中电子与质子的相互作用。(对足够纯的半导体材料,低温下本征辐射复合的主要特征可以是激子复合导致的狭窄谱线。按激子复合发光模型,发光谱低能端应在激子波矢0对应的激子能量处突然截止,考虑激子效应时,有时还需考虑激子和光子耦合导致的激子极化激元的效应,可以解释实验观察到的发光谱线的低能带尾)

发光峰能量:hv= hv=Eg-Eex 束缚能:Eex=-mr*q4/8ε

r

2

ε

0

2

h2n2 =13.6 mr* /moε

r

2

mr*为电子和孔穴的折合质量 mr*=mp* /(mp*+mn*)

mp* ,mn*分别是空穴和电子的有效质量。(在杂质原子里(如施主),核的有效质量很大,因此,其折合质量等于电子的有效质量。但激子折合质量要小于电子,激子束缚能要低于施主或受主的束缚能) (一般只能观察到n=1,2的谱线)

特征:发光峰能量略低于Eg,离化能可估计出,发光峰尖锐,半峰宽在几

个meV以内。一般在低温下才可观察到。

自由激子的声子伴线:自由激子在复合时,发射了一个或多个声子,同时发出的光子。

发光峰能量:hv= hv=Eg-Eex-mEp

特征:发光峰一般伴随自由激子峰出现。其与自由激子的能量差为声子能量。出现多声子伴线时,发射峰之间的能量差相等。

横向光学声子(TO),横向声学声子(TA),纵向光学声子(LO),纵向声学声子(LA)一般最易观察到纵向光学声子(LO声子)伴线。

7. 束缚激子:束缚在杂质上的激子。杂质中心俘获电子或空穴,然后俘获相反符号的

载流子;或者杂质中心俘获一个自由激子。束缚激子不能在晶体中自由运动。可束缚在中性施主,中性受主,电离施主,电离受主上。(从能量的观点看,如果激子处在杂质中心附近时使系统能量下降,那么激子保持在杂质或缺陷附近是有利的,激子可以束缚在杂质中心上。)

对中性施主或受主,杂质中心都有可能束缚激子,但电离杂质的情况就不一样。判定:有效质量比:σ:me*/mh*,认为:对于电离施主,σ小于0.71,系统能量下降,也有认为,σ小于0.2时,束缚激子(D+X)才是稳定的。当σ接近0时,Eb=0.22 Ex。D+X离解为一个中性施主和一个自由空穴比离解为一个电离施主和一个自由激子更容易发生。对于电离受主束缚激子,只有当σ大于1.4时,才可能存在,因此一般电离受主束缚激子很难观察到。具体参照半导体光学性质337,图5.21。

中性施主束缚激子:D0X

电离施主束缚激子:D+X 中性受主束缚激子:A0X

电离受主束缚激子:A+X 发光峰能量:hv= Eg-Ex-Eb 束缚能:Ex+Eb

其中,Ex为自由激子束缚能,Eb是将自由激子束缚到杂质中心的附加能。

特征:发光峰能量略低于自由激子,发射谱线很窄,半峰宽一般低于1meV。GaAs,束缚于浅杂质的激子发射谱线宽在0.1meV数量级。(各种束缚激子的判定较为复杂,首先可比较实验观测到的束缚激子发光谱线的能量和各种不同束缚激子态束缚能的理论估计)如,利用有效质量近似,类氢模型估算出的自由激子束缚能(Eex),计算出有效质量比σ:me*/mh*,在已知该材料的σ情况下,根据不同束缚激子能Eb与Eex的关系估算出Eb,得到各种束缚激子的发光峰能量,与实验值比较。还可以结合磁场作用下的束缚激子发光谱线的塞曼分裂来判定。

束缚激子的声子伴线:束缚激子在复合时,发射了一个或多个声子,同时发出的光子。

6.深跃迁:电子从导带跃迁到受主能级,或从施主能级跃迁到价带。

发光能量:hv= Eg-Ei

Ei (EA受主束缚能,ED施主束缚能)

施主束缚能:ED= mn*q4/8ε

r

2

ε

0

2

h2= 13.6 mn*/m0ε

r

mn*: 电导有效质量,m0: 电子惯性质量。εr: 相对介电常数。

如果掺杂浓度达到1018cm-3, 导带电子跃迁到受主能级或从施主能级跃迁到价带的几率和带-带跃迁,激子跃迁有相同的量级,不难在实验中观察到。也可观察到声子伴线峰。

特征:发光峰能量低于激子峰,一般谱线较宽。当杂质浓度增加时,发光峰展宽,峰位能量漂移。(半导体的光学性质,P362。,半导体中的光学过程,P151) 7.施主-受主对:施主离子及其束缚的电子和受主离子及其束缚的空穴可以构成施主-受主对(D-A对)(半导体中的光学过程,P160)

发光峰能量:hv=Eg-(EA+ED)+e2/(4πεr),其中,r为失主-受主对的间距。

特征:当r不是很大(10-50晶格常数)可显示为一系列分立的谱线,但在r较大时,形成一个连续的宽发射谱。随激发密度增大,激发近距离的D-A对数目增多,发光峰向高能方移动。

9. 能带内的跃迁,导带热电子跃迁到价带顶,导带底电子与价带热电子复合:在直接带隙半导体中很难观察到,而价带空穴到电离受主的跃迁的声子发射几率远大于光子发射几率,一般难以观察到。

第19篇:半导体二极管说课稿

《半导体二极管》说课稿

宁远县职业中专学校 何绍斌

一、教材分析

本节教材选自高等教育出版社《电子技术基础》中第一章第一节 “半导体二极管”。本节内容是介绍和验证二极管的单向导电特性及伏安特性。这些知识不仅是进一步学习电子技术的基础,而且在生产和生活中也起着举足轻重的作用。

二、学情分析

本课程面向的是刚进入职业中专的初中毕业生,基础知识比较薄弱,理论学习兴趣不高,不过,学生的动手能力比较强,喜欢实际操作。在学习本课之前学生已经掌握了“半导体”的一些基本知识如:载流子、PN结及PN结的单向导电特性等。

三、教学目标

针对学生的心理特点和认知水平,结合教材,本着面向全体、使学生全面主动发展的原则,确定本节课的教学目标如下:

知识目标:1.了解二极管的结构、符号、主要参数 2.掌握二极管的单向导电特性及伏安特性 能力目标:1.培养学生动手实验的能力

2.培养学生的分析能力、归纳总结能力

情感目标:1.培养学生的协作意识和创新意识 2.增强学生学习专业知识的信心

四、重点与难点

通过对教材分析和实际应用,确定教学重点为“二极管单向导电性”;难点为“二极管的伏安特性”。

五、教法、学法分析

在本节课中,我努力做到教法与学法的辩证统一,既重视教法的使用,也加强学法的指导。

教法:依据本节教材的内容,结合学生的特点,考虑到技校学生已经具有一定的思维能力,主要突出能力目标的实现。采取情境教学、实验教学、探究教学、多媒体演示等教学方法,把主动权交给学生,使学生主动参与到课堂中来。

学法:引导学生采用自主学习法、动手实验、分析讨论、归纳总结等学习方法,培养学生的动口、动手、动脑和团结协作的能力,发挥学生的主观能动性,激发学生的学习兴趣,活跃课堂气氛。

六、教学过程

我把这节课分为四个阶段:引入新知、探究新知、总结新知、拓展新知。

(一)引入新知

在本节课中,我利用电子元件在日常生活中的应用(电器指示灯、红绿灯、玩具彩灯等)引出本课的内容——半导体二极管。这样会让学生感觉到二极管在生活中的重要性,激发学生探究新知的欲望。

(二)探究新知

1.激发兴趣,自主学习

展示玩具、二极管的实物图,介绍二极管结构、符号。让学生自己预习,回答以下问题:

半导体二极管有哪些性质?

这个过程是学生自主学习的过程,让学生初步体验到成功的乐趣,既增强了自信又延续了学习兴趣,使学生逐渐养成自主学习的好习惯。

2.提出问题,设计实验

通过预习学生已经知道二极管具有单向导电特性。但如何验证,成为问题的关键。 由教师给出实验器材,指导学生设计“验证二极管单向导电特性”的电路图,学生会很快的设计出来。

这个过程注重学生的主动参与、教师的积极引导,分层次、分阶段逐步培养学生分析问题、设计实验的能力。

3.动手实验,分析总结

1)根据电路图连接实物图。

2)实验验证:正向导通,反向截止(同时用多媒体演示实验现象)。

这个过程学生不是被动的接受,而是通过自己动手主动去验证去学习新知,体验深刻,激发兴趣,突出本节重点。

4.师生合作,深入探究 此时有的学生会问:“为什么二极管具有单向导电特性”?在肯定学生的基础上解答:“由二极管内部结构决定的;虽然看不见二极管内部结构,但我们可以对反应二极管单向导电特性的物理量进行定量研究。”即本节核心问题:“二极管的伏安特性?”,也是本节课的难点。

教师引导学生在原有实验基础上设计新电路,一起研究二极管电压和电流的关系。教师和学生一起动手做“研究二极管电压电流关系”的演示实验,边做边指导学生读数并让学生记录实验数据;根据数据一起做练习:绘制出“二极管伏安特性曲线”;利用多媒体课件具体分析“二极管伏安特性曲线”的特点,并介绍二极管的主要参数。

这个过程重在强化“实验―记录数据―分析数据―总结规律”的研究方法,并改变以往演示实验的做法,充分发挥学生的主体地位。教师引导学生动脑设计、亲自操作、相互交流、归纳总结,使学生既学习知识又锻炼能力,爱学更会学。 5.突破难点,得出结论

用多媒体动画再次深化本节核心问题。综上所述:二极管的伏安特性即电压和电流的关系。

归纳总结得出的结论,用多媒体形象、直观的进行深化,符合思维的连贯和学生认识规律,使学生对知识的印象更加深刻。达到突破本节难点的目的。

(三)总结新知

1.半导体二极管的概念、符号。2.半导体二极管的单向导电性。 3.半导体二极管的伏安特性。

使同学们巩固本节课所学新知。布置本节作业,保证下节课教学内容的顺利进行。

(四)拓展新知

至此,教学内容已讲授完毕,根据学生的情况,继续引导同学们思考:什么是LED”,让学生课下利用网络查找。

一方面为下一节课学习作好铺垫,一方面也有利于学生形成完整的知识结构。

七、板书设计

半导体二极管

一、二极管的基本知识: 1.二极管的概念

2.二极管的结构、符号

二、二极管的伏安特性曲线: 1.经过原点 2.正向特性

3.反向特性

第20篇:半导体制造技术总结

第一章

2、列出20世纪上半叶对半导体产业发展做出贡献的4种不同产业。P2 答:真空管电子学、无线电通信、机械制表机及固体物理。 答:高速、耐久性、功率控制能力。缺陷:功耗高。 19.场效应晶体管(FET)有什么优点?P49 答:利于提高集成度和节省电能。 22.FET的最大优势是什么?P49

3、什么时间、什么地点、由谁发明了固体晶体管?P3 答:1947年12月16日在贝尔电话实验室由威廉·肖克利、约翰·巴丁和沃尔特·布拉顿发明了固体晶体管。

5、列出5个集成时代,指出每个时代的时间段,并给出每个时代每个芯片上的元件数。P4

6、什么是硅片?什么是衬底?什么是芯片?

答:芯片也称为管芯(单数和复数芯片或集成电路),硅圆片通常被称为衬底

8、列出集成电路制造的5个重要步骤,简要描述每个步骤。P4

10、列出提高微芯片制造技术相关的三个重要趋势,简要描述每个趋势。P8

11、什么是芯片的关键尺寸?这种尺寸为何重要?P9

13、什么是摩尔定律?它预测了什么?这个定律正确吗?P10

14、自1947年以来靠什么因素使芯片价格降低?给出这种变化的两个原因。

16、描述硅片技师和设备技师的职责。P16

第三章

11.解释pn结反偏时发生的情况。P45

答:导致通过二极管的电流很小,甚至没有电流。 12.解释pn结正偏时发生的情况。P45

答:将一正偏施加于pn结,电路中n区电子从偏压电源负极被排斥。多余的电子从负极注入到充满空穴的p区,使n区中留下电子的空穴。同时,p区的空穴从偏压电源正极被排斥。由偏压电源正极提供的空穴中和由偏压电源负极提供的电子。空穴和电子在结区复合以及克服势垒电压大大的减小了阻止电流的行为。只要偏压对二极管能维持一个固定的空穴和电子注入,电流就将持续的通过电路。

13.双极晶体管有多少个电极、结和类型?电极的名称分别是什么?类型名称分别是什么?P46

答:有三电极和两个pn结、两种类型。电极名称:发射极、基极、集电极。类型名称:pnp、npn.16.BJT是什么类型的放大器器件?它是怎么根据能量要求影响它的应用的?P47

答:驱动电流的电流放大器件。发射极和集电极都是n型的重掺杂,比如砷或磷。基极是p型杂质硼的轻掺杂。基极载流子减少,基极吸引的电流将明显地比集电极吸引的电流小。这种差别说明了晶体管从输入到输出电流的增益。晶体管能线性地将小的输入信号放大几百倍来驱动输出器件。

18.双极技术有什么显著特征?双极技术的最大缺陷是什么?P48

答:低电压和低功耗。

25.FET的两种基本类型是什么?他们之间的主要区别是什么?P50

答:结型(JFET)和金属-氧化物型(MOSFET)半导体。区别是:MOSFET作为场效应晶体管输入端的栅极由一层薄介质与晶体管的其他两极绝缘。JFET的栅极实际上同晶体管其他电极形成物理的pn结。

26.MOSFET有哪两种类型?它们怎么区分?P50 答:nMOS(n沟道)和pMOS(p沟道)。每种类型可由各自器件的多数载流子来区分。

第四章

1.列举得到半导体级硅的三个步骤。半导体级硅有多纯?P64

4.描述非晶材料。为什么这种硅不能用于硅片?P65 9.为什么要用单晶进行硅片制造?P67 14.什么是CZ单晶生长法?P68

22.为什么要用区熔法生长硅晶体?P71 23.描述区熔法。P71

25.给出更大直径硅片的三大好处。P72 26.什么是晶体缺陷?P73

37.在直径为200mm及以上硅片中切片是怎么进行的?P77

41.为什么要对硅片表面进行化学机械平坦化?P78 43.列举硅片的7种质量要求。P79

第五章

1.什么是物质的四种形态?试分别描述之。P87

6.描述三种温标,哪一种是科学工作中最常用的温标?P89

8.给出真空的定义。什么是最常用的真空单位,它是怎么定义的?P91

9.给出冷凝和蒸发的定义。吸收和吸附之间有什么不同?P91-92

11.给出升华和凝华的定义。P92 13.什么是表面张力?P93

14.给出材料的热膨胀系数P94。

20.什么是酸?列出在硅片厂中常用的三种酸。P95 21.什么是碱?列出在硅片厂中常用的三种碱。P96 23.什么是溶剂?列出在硅片厂中常用的三种溶剂。P97 24.描述在硅片厂中使用的去离子水的概念。P97 31.什么是处理特殊气体所面临的最大挑战?P99 38.描述三种特殊气体并分别举例。P101

第六章

4.说明五类净化间沾污。P107

6.解释半导体制造中可以接受的颗粒尺寸的粗略规则。P108

9.什么是MIC?P109

13.解释自然氧化层。识别由自然氧化层引起的三种问题。27.为什么潮湿是工艺腔的一大问题.P183

28.列出减少设备维修中的沾污的必要步骤。P184

第九章

1.列出芯片厂中6个不同的生产区域并对每一个区域做P110

15.给出在硅片制造中由ESD引起的三种问题。P111 16.列举硅片制造厂房中7种沾污源。P112 30.列举并解释ESD的三种控制方法。P117 34.描述反渗透(RO)过滤。什么是超过滤?P119 39.列举并讨论四类过滤器。P121 42.描述工艺气体的过滤。P121

49.描述微环境,解释为何这种环境在净化间内改善了沾污控制。P125

53.描述RCA清洗工艺。P126

61.列出典型的硅片湿法清洗顺序。什么是清洗槽?P127

第七章

1.什么是测量学?集成电路制造中测量学的目的是什么?P140

2.缺陷的定义。硅片缺陷密度是怎样定义的?P140

6.半导体质量测量的定义。列出在集成电路制造中12种不同的质量测量。陈述使用不同质量测量的工艺。P142 10.解释四探针法,并给出测方块电阻四探针法的优点。P144-145

12.解释等值线图。P145

13.解释椭偏仪的基本原理。用椭偏仪测薄膜厚度有哪些优点?P145-146

17.用X射线怎样测薄膜厚度?XRF是什么的缩写。什么是全反射XRF?P147

24.什么是亮场探测?什么是暗场探测?P151 28.解释什么是每步每片上的颗粒数(PWP)。P153 29.哪些是硅片关键尺寸的主要测量工具。P154 30.解释SEM的主要操作。P154

33.什么是套准精度?陈述并解释测量套准精度的主要技术。P156

36.描述二次离子质谱仪(SMIS)。P160 38.解释什么是原子加力显微镜。P162 41.解释透射电子能显微镜。P163

43.描述聚焦离子束加工并解释它的好处。P165

第八章

1.什么是工艺腔?它的五项功能是什么?P171 4.半导体制造业中的真空由有什么优点?P173 7.什么是平均自由程?为什么它很重要?P173 12.描述冷凝泵的原理,并解释其过程。P176

16.列出气流控制中4个基本的对工艺腔的要求。P178 19.质量流量计的原理是什么?P178

23.什么是等离子体?它对工艺腔有什么益处?P181

简单的描述。P188-189

3.举出在高温设备中进行的5步工艺。P189 4.光刻的目的是什么?P189

11.举出薄膜区用到的4种不同的设备和工艺。P191 13.列出典型的CMOS工艺的14个主要生产步骤。P192 17.离子注入后进行退火工艺的原因是什么?P194 19.什么是浅槽隔离?它取代了什么工艺?P194 25.轻掺杂漏(LDD)注入是如何减少沟道漏电流效应的?P197

26.解释侧墙的目的。P198 29.什么是局部互连?P200

31.什么是通孔?什么是钨塞?P201

第十章

1.生长氧化层与淀积氧化层间的区别是什么?P210 3.热预算的定义,解释为什么其不受欢迎。P211

11.列出热氧化物在硅片制造的6种用途,并给出各种用途的目的。不懂这题。

14.如果热生长氧化层厚度为2000A,那么硅消耗多少?0

17.举出氧化工艺中掺氯的两个优点。P217 24.解释晶体晶向对氧化物生长的影响。P218

27.LOCOS是什么,热氧化中如何使用?鸟嘴效应是什么,为什么它不受欢迎?P220 28.解释浅槽隔离(STI)。P220 32.什么是热壁炉?P222

33.列出卧式炉和立式炉的五个性能因素,判断哪种炉体是最适合的。P223

47.什么是快速热处理(RTP)?相比于传统炉其6大优点是什么?P228

第十一章

1. 什么是多层金属化?它对芯片加工来说为什么是必

需的?P240

3. 解释ILD层的作用。在芯片中,ILD-1层所在的位置

是哪里?P241

4. 什么是薄膜?列举并描述可接受的薄膜的8个特征。

P242

5. 什么是深度比?为什么高深度比对ULSI器件很重

要?P243

6. 列举并描述薄膜生长的三个阶段。P244 7. 列举淀积的5种主要技术。P245 8. 什么是CVD?P246

11.识别并描述CVD反应中的8个步骤。P247

20.为什么LPCVD较APCVD更普遍?描述LPCVD的工艺过程。P253

27.什么是PECVD?PECVD和LPCVD的主要差别是什么?P257

40.什么是外延?解释自掺杂和外扩散。P267 41.列举并讨论外延的三种方法。P268

第十二章

9. 列出并讨论引入铜金属化的5大优点。P283

17.描述钨塞填充,并讨论它是怎样被用于多层金属化的?P289

18.为什么蒸发作为金属淀积系统被取代?P290 30.在高级IC中,什么是产生钨填充的典型方法? 32.解释铜电镀的基本过程。P299

35.列出双大马士革金属化过程的10个步骤。P302

第十三章

1. 什么是光刻?P310

2. 描述投影掩膜版和掩膜版的区别。P311 4,定义分辨率。P312

5.什么是套准精度?它对掩膜版的套准容差有什么作用?P313

6.讨论工艺宽容度。P314

7.解释负性和正性光刻的区别。P314 8.描述亮场掩膜版。P315 10.列出光刻的8个步骤,并对每一步做出简要解释。P316 14.HMDS是什么?起到什么作用?P317 17.给出硅片制造中光刻胶的两种目的。P322 28.列出并描述I线光刻胶的4种成分。P325 29.负胶的两大缺点是什么?P326

34.给出I线正胶具有良好分辨率的原因。P327 35.为什么I线光刻胶不能用在深紫外波长?P328 42.列出并描述旋转涂胶的4个基本步骤。P330 45.描述边圈去除。P333

46.陈述软烘的4个原因。P333

第十四章

3. 步进光刻机的三个基本目标是什么?P342 7.列出并解释两种形式的光波干涉。什么是滤波器?P344 8.什么是电磁波谱,什么是UV范围?P345

9.列出并描述光刻中使用的两种UV曝光光源。P346 13.哪种激光器用做248nm的光源?193nm的光源是什么?P348

14.什么是空间想干?为什么在光刻中控制它?P348 24.什么是数值孔径?陈述它的公式,包括近似公式。P353 26.列出并解释硅片表面光反射引起的最主要的两个问题。P354

27.什么是抗反射涂层,它是怎样减小驻波的?P354 28.陈述分辨率公式。影响光刻分辨率的三个参数是什么?P358

30.计算扫描光刻机的分辨率,假设波长是248nm,NA是0.65,k是0.6。P358

31.给出焦深和焦面的定义。写出计算焦深的公式。P359 35.解释接触光刻机。它使用掩膜还是投影掩膜?P360 36.解释接近光刻机是怎样工作的。它要解决什么问题?P361

37.解释扫描投影光刻机是怎样工作的。扫描投影光刻机努力解决什么问题?P361

38.解释分步重复光刻机的基本功能。P363

39.光刻中采用步进扫描技术获得了什么好处?P364

第十五章

1. 解释光刻胶显影,其目的是什么?P387第一段第一句 2. 为什么要对化学放大深紫外光刻胶进行后烘?简述

去保护作用。P385

3. 为什么温度均匀性对后烘很重要?P385

.5。简述负胶显影。负胶用于亚微米图形的主要问题是什么?P386

6.为什么正胶是普遍使用的光刻胶?P88 9.最常用的正胶是指哪些光刻胶?P388 12.对化学放大深紫外光刻胶而言,PHS与显影液之间是否发生了化学反应?P389

13.列举两种光刻胶显影方法。P389 14.解释连续喷雾显影。P389 15.描述旋覆浸没显影。P390

17.解释为什么要进行坚膜。P391 19.为什么要进行显影后检查?P392

21.列举出下一代光刻技术中4种正在研究的光刻技术。P393

第十六章

1. 定义刻蚀,刻蚀的目的是什么?P404

2. 刻蚀工艺有哪两种类型?简要描述各类刻蚀工艺。

P405

3. 列出按资料分类的三种主要干法蚀刻。P405 4. 解释有图形和无图形刻蚀的区别。P405 5. 列举9个重要的刻蚀参数。P406

7.解释负载效应以及它与刻蚀速率的关系。P406 10.什么是方向性?为什么在刻蚀中需要方向性?P407?(这个没找到确切的答案)

12.定义选择比。干法刻蚀有高的或低的选择比?高选择比意味着什么?描述并解释选择比公式。P409 13.什么是刻蚀均匀性?获得均匀性刻蚀的难点是什么?解释ARDE并讨论它与刻蚀均匀性的关系。ARDE的另一个名字是什么?P409~410

14.讨论刻蚀残留物,他们为什么产生以及要怎样去除?P410

16.什么刻蚀中的等离子体诱导损伤,以及这些损伤带来

什么问题?P411 18.干法刻蚀的目的是什么?列举干法刻蚀同湿法刻蚀相比具有的优点。干法刻蚀的不足之处是什么?P411

19.列举在干法刻蚀中发生刻蚀反应的三种方法。P412 20.解释发生刻蚀反应的化学机理和物理机理。P412 25.描述圆桶式等离子体刻蚀机。P414 26.描述平板反应器。P415

29.解释离子束铣。他是用什么材料?P417 33.描述电子回旋共振。P419 37.什么是终点检测?为什么在干法刻蚀中它是必需的?最常用的终点检测类型是什么?P422

十七章

1、什么是掺杂? P442

3、简要描述热扩散。P443

4、简要描述离子注入。P443

5、请列举用于硅片制造的5种常用杂质。

8、什么是结深?P444

10、列举并解释扩散的三个步骤。P445

14、为什么杂质需要激活?P446

15、什么是杂质的固溶极限?P446

16、解释横向扩散以及不希望有横自扩散的原因。P447

21、给出离子注入机的概况、P448

22、说明亚0.25微米工艺中掺杂的两个主要目标。P448

23、列举离子注入优于扩散的7点。P449

24、离子注入的主要缺点是什么?如何克服?P450

27、什么是射程?解释能量与射程之间的关系。P450

28、如果电荷数为1的正离子在电势差200keV的电场中运动,它的能量是多少?P450

29、列举离子注入机的4种类型,并简要描述。P451

32、描述注入过程中的两种主要能量损失机制。P451

34、列举离子注入设备的5个主要子系统。P453

35、离子源的目的是什么?最常用的离子源材料是什么?0N P453

39、质量分析器磁铁的作用是什么?描述质量分析器的功能。P455

40、加速管是怎样增加粒子束能量的?P456

45、解释离子束扩散和空间电荷中和。P458

46、形成中性离子束陷阱的原因是什么?P458

47、列举并简要解释4种扫描系统。P459

50、讨论硅片充电、二次电子喷淋和等离子电子喷淋。P460

53、退火的目是什么?高温炉退火和RTA哪一个更优越?P463

55、描述沟道效应。列举并简要解释控制沟道效应的三种机制P464。

十八章

41、描述表面形貌,较高的芯片封装密度会引起表面形貌的何种变化? 478

3、列举和论述三种传统的平坦化方法。480

5、描述化学机械平坦化,CMP是在恩怨实现的平坦化的?482

4、什么是平坦度?如果SHpre10um,SHpost1um,那么DP是多少?483

5、解释WIWNU和WTWNU之间的差别。484

6、列举并解释CMP的9个优点。484

7、列举并解释CMP的4个缺点。484

8、叙述用于解释CMP平坦化表面方式的两种机理484

2、解释金属抛光的原理。485

36、定义磨料。为什么磨料对CMP很重要?487

22、描述抛光垫。488

23、解释表面平滑。修正的目的是什么?488~~489

54、CMP中为什么需要终点检测?491

11、列举并描述在CMP中用的两种终点检测类型。电机电流终点检测,光学终点监测

36、CMP清洗的终点是什么?493

40、列举并简单描述硅片制造中用到CMP的6个例子。495

十九章

1、定义硅片测试。硅片测试的目的是什么? 506

2、列举并描述IC生产过程中的5种不同电学测试。507

3、列出硅片制造过程中完成的两种硅片级测试。507

6、在线参数测试的另一个名称是什么?在线参数测试是直流测试还是交流测试? 509

7、列举并解释5个进行在线参数测试的理由。509

48、什么是划片道监控?509

49、列举并解释在线参数测试中要做的5种不同测试。510

30、解释硅片级可靠性。给出一个硅片级可靠性测试的例子。512

16、列举在线参数测试的4个主要子系统。512

31、列举并解释硅片挑选测试的目标。515

17、列举并描述硅片挑选测试中的三种典型电学测试。516

51、列出影响硅片挑选测试的4个要素。519

41、列举并描述三种成品率模型。523

17-607宿舍终结版

《半导体实习报告.doc》
半导体实习报告
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:
点击下载文档

相关推荐

祝福语实习报告辞职报告策划书口号检讨书介绍信导游词社会实践报告求职信协议书委托书证明承诺书自我介绍自我评价自我鉴定广告词申请书活动方案
下载全文